[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено mishapost 01 мая 2006 г. 18:26
В ответ на: Студент? Или просто конфа мельчает... AHDL - генерите счётчик мегавизардом, ставите компаратор на 37 - результат заводите на синхронный сброс. Что проще? По ссылке читали? отправлено <font color=gray>iBuilder</font> 01 мая 2006 г. 16:17

спасибо хоть и за это!
А реально кто-нибудь помочь может?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru