[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено SAZH 14 июня 2006 г. 21:38
В ответ на: Вы чего, офигели оба совсем??!! Триггеры-защёлки отдыхают. отправлено druzhin 14 июня 2006 г. 21:07

Там на VHDL занятное описание. У меня на верилоге так оптимизировать не получилось. А вот Вы известный поборник чистоты языка. Может переведете на верилог так же оптимально. Я сделал на сумматорах и мультиплексорах. И иссяк.
http://electronix.ru/forum/index.php?showtopic=16497&pid=118404&st=0&#entry118404

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru