[an error occurred while processing this directive]
Э, стоп. (+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено SM 23 июня 2006 г. 15:28
В ответ на: а оно barel shifter-ов не насинтезит? хотя, кажется, что можно (я от жары уже совсем опух - не соображаю) отправлено <font color=gray>yes</font> 23 июня 2006 г. 15:05

внутри for'ов можно вроде использовать переменную цикла, а также любые выражения из переменных цикла, параметров и констант. Речь ведь была о использовании сигналов/выходов регистров в качестве индексов. И вполне естественно будет насинтезить баррелевских сдвигателей.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru