[an error occurred while processing this directive]
Намекаю. Сделать счетчик, который считает от 0 до 2. После 2 опять с нуля. Старший бит - выход.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено SM 15 июля 2006 г. 23:08
В ответ на: :3 отправлено <font color=gray>der</font> 15 июля 2006 г. 22:25


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание