[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено der 16 июля 2006 г. 19:15
В ответ на: Так сделайте как я сказал - и будет начало по фронту и конец по спаду. Или засинхронизируйте Ваш второй счетчик от первого. Но совершенно не ясно, на кой два счетчика делать. отправлено SM 16 июля 2006 г. 16:33

тогда как твой код перевести на схематехнику?!

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание