[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция 'Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено man from FAR EAST 22 июля 2006 г. 01:31
В ответ на: Ответ: отправлено posmotret'_zashel 22 июля 2006 г. 00:35

пробовал и так if (ENABLE_CLK) - не получается.
ENABLE_CLK - равен одному периоду iLCK - это я проверил!
как быть?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание