[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено man from FAR EAST 23 июля 2006 г. 14:31
В ответ на: Ответ: отправлено <font color=gray>yobte nah</font> 22 июля 2006 г. 23:01

module sr (CLK, RST, TO_RG, AUDIO_LOAD, MEMORY, DATA);
input CLK, RST, AUDIO_LOAD;
input [5:0] TO_RG;
output DATA; reg DATA;
output [5:0] MEMORY; reg [5:0] MEMORY;

always @ (posedge iCLK or posedge iRST)
begin
if (RST) begin
DATA<=1'b0;
MEMORY<=6'b0;
end
else if (AUDIO_LOAD) begin
MEMORY <= TO_RG;
end
else begin
MEMORY <= (MEMORY << 1);
DATA = MEMORY[5];
end
end

endmodule

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание