[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено SAZH 06 октября 2006 г. 11:23
В ответ на: А под ISE никто не пробывал? Может это ограничение, которое XILINX ввел для халявного ISE? отправлено <font color=gray>_Amid_</font> 06 октября 2006 г. 09:20

Я попробовал отмоделировать в QuestaSim. Вообще не хочет моделировать.
Затыкается на первом переднем фронте clk. Пишет:
# Fatal error in Process line__20 at D:/work_modelsim/test.vhd line 27
И указывает на линию i:=i+1;
А схема Ваша алогична. Без ресета вообще работать не будет.
С ресетом на выходе будет ноль, пока не доберетесь до i:=0.
И если только a=b, получите a на выходе. но ведь оно эквивалентно b.
В противном случае b. Вот и получается, что выход при таких условиях не зависит от входа a. На выходе всегда b. При этом синтезатор не может выкинуть все эти навороты. Хорошо хоть в последних ISE это отслеживается. Поздравляю.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа
Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 
URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание