[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено alexadmin 20 октября 2006 г. 12:12
В ответ на: Ответ: Не всё так просто отправлено <font color=gray>darkniisiis</font> 20 октября 2006 г. 10:46

Совершенно согласен с V61, не обратил сначала внимания. Или описывать поведенчески или подключать компонент. Если первое, то наверное правильно процесс описан, точнее можно в темплейтах ISE посмотреть. В этом случае подключение компонента выкинуть.
Если подключать компонент, то убрать процесс. В данном случае компилятор сообщает, что не определен вход компонента EN (а так же и RST). Вот пример подключения подобного примитива из Xilinx Library guide:

...
port map (
DO => DO, -- 1-bit data output
ADDR => ADDR, -- 12-bit address input
CLK => CLK, -- Clock input
DI => DI, -- 1-bit data input
EN => EN, -- RAM enable input
RST => RST, -- Synchronous reset input
WE => WE -- RAM write enable input
);

При этом generics с нулями можно не указывать - память будет по умолчанию выставлена в ноль. Все это для собственного синтезатора Xilinx (XST). Если пользоваться другими синтезаторами, то формат подключения (передачи массива инициализации) может быть другой.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа
Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 
URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание