Разработка, производство и продажа радиоэлектронной аппаратуры
|
Карта сайта
|
Пишите нам
|
В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:
jobsmp@pochta.ru
о фирме
продукция
электроника
обратная связь
Языки описания аппаратуры (VHDL и др.)
Страницы:
Текущая
62
61
60
59
58
57
56
55
54
53
52
51
50
49
48
47
46
45
44
43
42
41
40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
Новое сообщение
Регистрация
——> Выберите конференцию
Микроконтроллеры <03.01.2024 22:59>
Программируемые логические схемы <03.01.2017 20:54>
Языки описания аппаратуры (VHDL и др.) <23.12.2018 20:16>
Цифровые сигнальные процессоры (DSP) <31.08.2023 09:00>
Аналоговая схемотехника <16.06.2022 18:58>
Голосования <08.12.2022 22:35>
RadioNews
Проекты
Ссылки
Люди добрые, поделитесь кряком для Active HDL 7.2
—
Olga_E
(09.03.2007 17:06:28
83.167.112.199
, 76 байт)
Ответ:
—
guest
(10.03.2007 22:31:59
85.192.48.50
, 58 байт)
Ответ:
—
guest
(10.03.2007 22:27:45
85.192.48.50
, 32 байт)
Описание калькулятора на языке аппаратуры (VHDL)!!!
—
viktor93
(07.03.2007 19:43:40
82.207.18.176
, 215 байт)
Йесли можете по английски, то поробуйте www.diycalculator.com/popup-m-phyver.shtml . Е.тот калькулятор работает, в колледже заставляли делать
—
dima2882
(13.03.2007 16:38:38
198.118.127.182
,
пустое
)
Кто подскажет, вроде интересное предложение о работе?
—
stud_miet
(07.03.2007 00:39:6
83.167.105.244
, 907 байт)
если гуглем не умеете пользоваться :), то по ссыл. то чем московская рнд занимается
—
yes
(07.03.2007 15:21:48
87.236.81.130
,
пустое
,
ссылка
)
совместительство - это значит какой-то готовый коллектив зарядить хотят. (видимо с ИТМ-ом перестали дружить :-) - а контора реально азик-и выпекает
—
yes
(07.03.2007 15:16:32
87.236.81.130
, 39 байт)
Может спамеры адреса собирают?
—
zlyh
(07.03.2007 10:02:38
194.186.73.110
,
пустое
)
Не, реальная фирма, реальные задачи. Съездитей и поговорите.
—
-=S=-
(07.03.2007 10:05:29
80.243.4.162
,
пустое
)
Разный софт. Отвечу на email
—
Vlad_23
(05.03.2007 13:48:25
213.234.235.98
, 19 байт,
ссылка
)
Помогите разобраться с задержками....
—
Lehin
(02.03.2007 18:00:16
82.204.235.130
, 626 байт)
А какую логическую схему вы хотите видеть для сигнала a0?
—
zlyh
(02.03.2007 18:21:53
194.186.73.110
, 278 байт)
Ответ: Можно уточнить...(+)
—
Lehin
(05.03.2007 09:13:32
82.204.235.130
, 401 байт)
Ответ:
—
SAZH
(05.03.2007 10:28:17
212.113.112.201
, 227 байт)
Спасибо! Учту на будущее!
—
Lehin
(05.03.2007 10:40:23
82.204.235.130
, 1 байт)
Eda Netlist writer и инкрементальная компиляция.(Quartus)
—
Правдоруб
(01.03.2007 14:21:13
89.28.192.194
, 281 байт)
Ответ:вроде решилось...
—
Правдоруб
(01.03.2007 19:15:28
89.28.192.194
,
пустое
)
Ciclone II PLL areset input(+)
—
cdg
(28.02.2007 08:58:25
80.68.3.242
, 160 байт)
а pllena подергать - влияет? а другую ПЛЛ задействовать - такая же ситуация? +
—
id_gene
(01.03.2007 19:04:49
193.232.173.182
, 66 байт)
(+)
—
cdg
(02.03.2007 08:50:53
80.68.3.242
, 260 байт)
у меня нет циклонов. pll_en или areset ? +
—
id_gene
(02.03.2007 10:46:25
193.232.173.182
, 331 байт)
Ответ: Так и должон +
—
axalay
(28.02.2007 13:43:14
212.5.127.53
, 404 байт)
Что значит "так и должон"? Как раз наоборот(+)
—
cdg
(01.03.2007 10:25:4
80.68.3.242
, 433 байт)
Издеваетесь что-ли??? Проблема в том, что не происходит реинициализации ФАПЧ после снятия сброса в 0
—
cdg
(01.03.2007 17:13:1
80.68.3.242
,
пустое
)
А Вы уверены, что он, areset, снимается в реальной жизни?
—
SM
(01.03.2007 19:34:56
85.21.237.237
,
пустое
)
А то мало ли... Вдруг генерация areset оказалась зависимой от наличия клока с выхода этой pll :)
—
SM
(01.03.2007 19:35:40
85.21.237.237
,
пустое
)
(+)
—
cdg
(02.03.2007 08:44:23
80.68.3.242
, 280 байт)
Ответ: Там черным по белому написано: ресет - активный высокий уровень.
—
axalay
(01.03.2007 10:43:52
212.5.127.53
,
пустое
)
Cyclone II конечно :)
—
cdg
(28.02.2007 09:00:10
80.68.3.242
,
пустое
)
где купить лицензионныйTurboWriter?
—
Olg
(24.02.2007 12:45:13
87.237.112.30
, 164 байт)
ASIC - Подскажите где можно найти фирму для дизайна ASIC
—
student1
(23.02.2007 10:46:50
212.36.9.145
,
пустое
)
GUC
—
yes
(25.02.2007 18:29:52
83.237.200.115
,
пустое
,
ссылка
)
Какую часть разработки хотите отдать? Целиком начиная с ТЗ, frontend или только backend?
—
SМ
(23.02.2007 13:26:36
80.92.255.53
,
пустое
)
Мне нужна разработка ASIC и потом место где ее производить. Количество большое...
—
student1
(23.02.2007 22:36:35
212.36.9.170
,
пустое
)
Да я понял это. Но где ответ на мой вопрос? Какую часть работы делаете Вы сами, а какую отдаете на сторону?
—
SМ
(24.02.2007 17:18:6
80.92.255.53
,
пустое
)
Все отдаем на сторону - му только продаем продукцию (не имеем никаких производственных мощностях)
—
student1
(24.02.2007 22:29:7
212.36.9.182
,
пустое
)
www.nicevt.ru
—
alee
(26.02.2007 11:05:2
83.102.219.193
,
пустое
,
ссылка
)
Вот тут
—
-=S=-
(25.02.2007 12:50:25
81.26.144.254
, 194 байт)
Т.е. Вы даете (+)
—
SМ
(24.02.2007 23:22:55
80.92.255.53
, 365 байт)
Как правильно использовать dsp блок для Cyclone 2 ?
—
Правдоруб
(21.02.2007 22:24:43
89.28.192.194
, 520 байт)
Ответ: Победил!
—
Правдоруб
(22.02.2007 13:26:34
81.19.129.250
, 267 байт)
Еще вариант вот так, опять в верилоге, но в VHDL должен быть аналог (+)
—
SМ
(23.02.2007 13:38:17
80.92.255.53
, 158 байт)
Попробуйте в этой строке воткнуть, если конечно верилог, правда ничего не гарантирую :) (+)
—
SМ
(22.02.2007 12:03:43
80.92.255.53
, 136 байт)
Ответ:
—
Правдоруб
(22.02.2007 12:29:58
81.19.129.250
, 54 байт)
Помогите начинающему в HDL (Verilog).
—
Backa
(20.02.2007 13:55:17
86.57.155.49
, 315 байт)
Там же должно быть написано как его зарегестрировать. Всё легально и бесплатно. :-)
—
zlyh
(20.02.2007 14:38:14
194.186.73.110
,
пустое
)
Подскажите, где можно найти реализация USB 2.0 Host на verilog/VHDL?
—
sirin
(15.02.2007 17:30:59
83.222.199.5
,
пустое
)
A можно и мне лекарстводля Aldec-HDL 7.2
—
pragman
(15.02.2007 10:10:16
212.143.125.239
, 25 байт)
И мне, пожалуйста
—
lga
(21.02.2007 10:45:40
85.21.15.50
, 67 байт)
Microsoft releases low-end embedded software platform
—
PicoDev
(15.02.2007 03:18:49
206.12.18.49
, 804 байт,
ссылка
)
Вопрос к СПЕЦАМ по Verilog
—
Backa
(14.02.2007 18:30:32
86.57.155.45
, 2790 байт)
Ответ:
—
Backa
(15.02.2007 11:41:56
86.57.155.178
, 55 байт)
Без англицкого в этой отрасли делать нечего.
—
zlyh
(15.02.2007 09:26:55
194.186.73.110
, 1074 байт)
неполный ответ +
—
id_gene
(14.02.2007 19:50:52
193.232.173.182
, 1179 байт)
И поищите книгу Валерия Зотова. Ее может выслать Инлайн или Скан. Но и в электронном виде она существует +
—
iosifk.
(16.02.2007 09:55:6
62.16.102.166
, 54 байт)
Ответ:
—
Backa
(16.02.2007 17:19:11
86.57.156.97
, 87 байт)
Ответ:
—
Backa
(16.02.2007 10:55:14
86.57.156.97
, 126 байт)
Как в testbench дать последовательность импульсов на вход симулируемого устройства
—
Victor35
(14.02.2007 10:47:40
212.0.214.250
, 127 байт)
Ответ: Уже разобрался -
—
Victor35
(14.02.2007 13:12:32
212.0.214.250
, 10 байт)
Программисты кто шарит в программировании ПЛИС фирмы Altera срочно нужна помощь
—
kamanch
(08.02.2007 13:39:47
81.13.10.10
, 255 байт)
Есть ли у кого-нибудь толковое описание на русском пакета FPGA ADVANTAGE от Mentor Graphics.
—
plisik
(06.02.2007 15:06:1
62.133.178.131
,
пустое
)
Где можно почитать как подключать программу на VHDL к тестовому стенду testbench в ISE 8.1i
—
IUser
(06.02.2007 12:32:22
86.57.159.15
, 46 байт)
HDL Designer - попадалось ли кому описание данного софта? Очень надо разобраться......
—
Lehin
(01.02.2007 08:56:32
82.204.235.130
, 1 байт)
У меня есть Tutorial
—
Murchik
(02.03.2007 00:52:24
87.69.83.196
, 212 байт)
Ищу лечение для Diptrace, или хороший софт для разводки плат
—
dima2882
(31.01.2007 05:22:1
68.33.84.98
, 195 байт)
А specctra считается плохой?
—
SM
(31.01.2007 16:26:15
85.21.237.237
,
пустое
)
Net, nado programmy poproshe. Cadence Allegro slishkom slozhniy dla prostih plat...
—
dima2882
(31.01.2007 20:49:15
198.118.127.183
,
пустое
)
Я имел в виду голую спектру, которая вызывается из-под пикада через его меню рутинга
—
SM
(01.02.2007 13:40:28
85.21.237.237
,
пустое
)
Народ! Выручите с лекарством для Xilinx ISE 8.2i
—
x-ext
(30.01.2007 20:26:28
85.140.192.35
, 18 байт)
Лекарство для Active HDL 7.2. И мне пожалуйста! iffpost@rambler.ru
—
Vain
(29.01.2007 21:53:23
91.191.176.6
, 18 байт)
лекарство-ключь к Universal Scan 9.1
—
SergeyAT
(24.01.2007 22:28:56
213.184.238.51
, 139 байт,
ссылка
)
а в голова я ем ?
—
sva
(29.01.2007 16:05:22
62.5.236.242
, 31 байт,
ссылка
)
Где взять "freeware" синтезатор с VHDL для SpartanXL? Synplify,Leonardo..? Может что еще есть доступное?
—
focnic
(17.01.2007 12:30:22
217.19.113.197
,
пустое
)
Xilinx WebPack.
—
andrew_b
(17.01.2007 14:00:32
89.18.130.241
,
пустое
)
Ответ: Если бы.. Xilinx не поддерживает и ни когода не подерживал синтез для SpartanXL
—
focnic
(17.01.2007 15:43:50
217.19.113.197
,
пустое
)
Языки описания аппаратуры (VHDL и др.)
—
focnic
(17.01.2007 12:25:32
217.19.113.197
, 105 байт)
Коллеги, скиньте лекарство для АЛДЕК ХДЛ 7.2 --- jalexv[dog]mail.ru --- Спасибо.
—
jaws64
(12.01.2007 00:15:36
82.148.20.6
,
пустое
)
Ответ:
—
Backa
(20.02.2007 14:05:23
86.57.155.49
, 31 байт)
Хотелось бы и мне: vvx@russian.ru
—
VVX
(15.01.2007 17:45:55
91.124.155.93
, 8 байт)
Плиз, киньте кто-нибудь лекарство дла Synplicity 8.6.1
—
Valerios
(11.01.2007 18:57:59
195.5.12.186
,
пустое
)
Подскажите где можно скачать литературу по VHDL. на русском языке
—
IUser
(08.01.2007 11:27:42
86.57.154.250
, 79 байт)
Ответ: смотрите сайт www.bsuir.unibel.by/vhdl/
—
prof
(04.04.2007 09:42:16
80.94.162.66
,
пустое
)
Ищу стандарт 802.11g
—
JohnKorsh
(05.01.2007 22:22:16
195.98.166.138
, 97 байт)
Ответ: Ссылка, может кому пригодиться, да и сам сайт интересный.
—
JohnKorsh
(15.01.2007 21:04:8
195.98.166.138
,
пустое
,
ссылка
)
Набери в гугле: 802.11 g pdf
—
TimMAtTU
(09.01.2007 17:33:52
213.228.95.64
,
пустое
)
Имеется вот такой пример
—
PeterD
(04.01.2007 22:16:57
87.117.169.236
, 434 байт)
Это имена экземпляров модуля. Функциональная нагрузка - надо же их как-то отличать, вот и имена придумали. А SEG7_LUT скорее всего все таки не функция, а модуль.
—
SM
(05.01.2007 17:14:39
85.21.237.237
,
пустое
)
То есть имена экземпляров модуля объявляются там же где, идет вставка самого модуля.
—
PeterD
(05.01.2007 18:22:47
87.117.169.236
, 42 байт)
Помогите найти книгу Полякова
—
Nestorovich
(03.01.2007 18:41:9
195.177.229.89
, 178 байт)
Непонятки с ActiveHDL 7.2
—
Мартовский Котяра
(31.12.2006 14:09:52
91.76.1.73
, 4063 байт)
Народ, лекарство мне уже дали, спасибо большое. Увы, проблема с выполнением этого теста осталась. Памяти выделеят в десятки раз меньше чем 6.3, и выполняется в десятки раз медленнее.
—
Мартовский Котяра
(03.01.2007 13:58:29
83.237.6.37
,
пустое
)
Мне бы лекарство для Active-HDL v.7.2. Вместе бы и подумали...
—
sir-yuri
(09.01.2007 13:59:35
213.208.170.249
,
пустое
)
Ответ: И мне тоже. Просто мечтаю об этом
—
dm_pogrebnoy
(24.04.2007 18:17:42
85.113.205.111
, 69 байт)
проблема с Xilinx JDrive
—
rebel_heart
(28.12.2006 18:02:0
195.60.175.5
, 463 байт)
Работа Synplify 8.8 c Active-HDL 7.2 поделитесь лицензией на синплифай плиз :-)
—
VIT
(27.12.2006 20:44:48
83.218.252.114
,
пустое
)
Вопрос по Quartus II, в режиме симуляции можно ли какнить посмотреть состояние
—
PeterD
(27.12.2006 12:20:17
83.69.107.179
, 152 байт)
Изучаю Verilog VHD, насколько удобно пользоватся ..
—
PeterD
(26.12.2006 11:05:9
83.69.107.179
, 226 байт)
Только в нем и пишу. Кроме квартуса (и опцинально моделятора) при работе с альтерой не надо ничего вообще. Пробовал всякие там xemacs'ы - круть конечно жуткая, но непривычно...
—
SМ
(26.12.2006 11:13:53
213.141.159.26
,
пустое
)
То есть Я вот качнул доку IEEE Standart на Verilog и опираясь на него могу в Quartuse юзать Verilog/
—
PeterD
(26.12.2006 11:26:24
83.69.107.179
,
пустое
)
Да, причем даже Verilog-2001
—
SM
(26.12.2006 13:41:15
85.21.237.237
,
пустое
)
И еще вот эту доку советую от синопсиса, много полезного найдете включая примеры элементарных конструкций ==>
—
SM
(26.12.2006 13:48:19
85.21.237.237
,
пустое
,
ссылка
)
Респект будем терзать :-).
—
PeterD
(26.12.2006 13:56:33
83.69.107.179
,
пустое
)
И, это, если мне мыльните, то могу выдать свежачок этой доки.
—
SM
(26.12.2006 14:00:19
85.21.237.237
,
пустое
)
Ушло.
—
PeterD
(26.12.2006 14:28:23
83.69.107.179
,
пустое
)
Только сразу отсеивайте асико-синопсисное, всякие там мультибиты и т.п.
—
SM
(26.12.2006 13:59:17
85.21.237.237
,
пустое
)
[VHDL]bin 2 bcd
—
sss
(25.12.2006 14:33:14
80.237.35.143
, 1575 байт)
Ответ:
—
SAZH
(27.12.2006 15:40:14
212.113.112.201
, 1299 байт)
Спасибо большое!
—
sss
(27.12.2006 21:34:47
80.237.35.216
,
пустое
)
По модулю 10 синетзатор не сделает схему.(Раньше не делал, сейчас не знаю)
—
zlyh
(27.12.2006 15:29:32
194.186.73.110
, 213 байт)
Ясно, спасибо!
—
sss
(27.12.2006 21:35:9
80.237.35.216
,
пустое
)
2yes : есть ли в природе тулза, которая по структурному описанию Verilog/VHDL/etc генерит и ЭКСПОРТИРУЕТ векторную RTL схемотехнику???
—
bpu
(25.12.2006 14:31:46
213.177.117.107
, 102 байт)
TNX!!! ну я вроде посмотрел - в визио VB может картинку нарисовать, а что рисовать я сгенерю самостоятельно (тем же elisp-ом)
—
yes
(25.12.2006 18:18:24
87.236.81.130
,
пустое
)
Народ, чем отличаются операторы REM и MOD? У меня получается 7 MOD 10 = 1. Почему?
—
sss
(25.12.2006 13:59:20
80.237.35.214
,
пустое
)
Уважаемые подскажите где качнуть Active-HDL 7.1 - 1583, а то микстура есть, а пациента нет или поделитесь будте добры
—
Cerberus
(21.12.2006 14:14:42
213.183.117.158
,
пустое
)
люди добрые помогите!!!!!!!!!!!! поделитесь пожалуйста лекарством к Active-HDL 7,2
—
andron
(31.01.2007 06:18:21
62.76.207.194
, 25 байт)
Ответ: Качай лучше 7.2, он получше будет
—
ViT
(22.12.2006 22:59:52
83.218.252.114
,
пустое
)
Ответ: хорошо вам уважаемый :) у вас и лекарство есть для 7.2 раз предлагаете скачать! поделитесь мекстуркой плиз grgoraz@mail.ru
—
Cerberus
(23.12.2006 11:46:5
213.183.117.158
,
пустое
)
Лекарство есть, но там в лицензии надо кой-че руками дописать, а что я не знаю!!!
—
ViT
(23.12.2006 19:30:35
83.218.252.114
, 161 байт)
Ответ: Добавляйте что хотите - будет работать с ЛЮБЫМ текстом :-)
—
RobFPGA
(25.12.2006 14:20:2
80.249.229.186
,
пустое
)
Ответ: Я пробовал только на буке, тут не пахало, попробую на компе. На буке и мнетор алл не пашет :-(
—
ViT
(25.12.2006 19:38:6
83.218.252.114
,
пустое
)
Ответ: А файлик liс..se.dat из bin в dat перенесли ?
—
RobFPGA
(25.12.2006 20:33:56
80.249.229.186
,
пустое
)
Ответ: Само собой разумеется, да и кряк сам это делает :-) Тут либо руки.. или я че то не доганяю
—
ViT
(25.12.2006 20:57:23
83.218.252.114
,
пустое
)
Ответ: Странно у меня он сам ето не делает. А на что в лицензии ругается ?
—
RobFPGA
(25.12.2006 21:05:26
80.249.229.186
,
пустое
)
Ответ: Таки это руки :-) В переменных среды остался старый путь к лицензии ....
—
ViT
(25.12.2006 21:03:52
83.218.252.114
,
пустое
)
Ответ: Попробую разобраться с лицензией, если у Вас получится раньше дайте знать :)
—
Cerberus
(24.12.2006 11:41:14
213.183.117.158
,
пустое
)
Лекарство для Synplify ASICs 5.2.4 vitaly_m4@bigmir.net
—
ViT
(20.12.2006 20:53:49
83.218.252.114
,
пустое
)
Кряк для active hdl 7.2
—
madghost
(19.12.2006 11:30:0
88.83.215.119
, 66 байт)
!!!!
—
andron
(29.01.2007 08:45:29
62.76.207.194
, 66 байт)
И мне пожалуйста. leshagood@mail.ru
—
lexx
(18.01.2007 14:45:4
85.21.15.50
,
пустое
)
пожалуйста, мне тоже: vvmailx@gmail.com
—
Vadson
(15.01.2007 17:26:35
91.124.155.93
,
пустое
)
И мне прошу выслать: sir-yuri@mail.ru
—
sir-yuri
(21.12.2006 11:52:56
213.208.170.249
,
пустое
)
Ответ: и мне пожалуйста на grgoraz@mail.ru
—
Cerberus
(21.12.2006 11:04:53
213.183.117.158
,
пустое
)
Ответ: и мне плиз vitaly_m4@bigmir.net
—
Виталий
(20.12.2006 20:51:24
83.218.252.114
,
пустое
)
Ответ: И мне!!! dm.pogrebnoy@rambler.ru
—
dm_pogrebnoy
(24.04.2007 18:21:20
85.113.205.111
, 23 байт)
На какой частоте работает контроллер SystemACE?
—
stv
(14.12.2006 16:06
62.76.192.3
, 715 байт)
как написать правильно на VERILOG ? не компиляет :-(
—
Degrees
(11.12.2006 13:02
194.226.180.10
, 205 байт)
Нужно писать в одном процессе и по одному синхроимпульсу.
—
V61
(11.12.2006 13:26
195.245.194.142
, 82 байт)
Ответ:
—
Postoroniy_V
(11.12.2006 13:17
83.102.149.146
, 180 байт)
пробую сваять SPI slave одним VERILOG файлом (код)
—
Degrees
(11.12.2006 14:32
194.226.180.10
, 1736 байт)
Все работает! Всем спасибо!
—
Degrees
(12.12.2006 08:26
194.226.180.10
,
пустое
)
Вопрос про Quartus II 4.0.
—
sss
(04.12.2006 18:39
80.237.35.178
, 354 байт)
Поправка: как проверить _правильность_ результата.
—
sss
(04.12.2006 18:40
80.237.35.178
,
пустое
)
Такое простое можете во встроенном симуляторе квартуса проверить.
—
SM
(05.12.2006 14:45
213.141.159.26
,
пустое
)
Ядро PCI Target на VHDL (xilinx), Склепал свое ядро, но при запуске система виснет?
—
Vzhik
(01.12.2006 17:43
81.90.225.158
, 269 байт)
Код в студию!
—
L_Konstantin
(06.12.2006 06:57
193.125.41.20
,
пустое
)
Как реализовать bintobcd
—
Kris
(01.12.2006 15:46
80.90.116.9
, 189 байт)
Ответ:
—
SAZH
(01.12.2006 16:47
212.113.112.201
, 723 байт)
Что это?
—
Kris
(01.12.2006 17:30
80.90.116.9
, 46 байт)
Ответ:
—
Kris
(01.12.2006 17:31
80.90.116.9
, 160 байт)
Ответ:
—
SAZH
(01.12.2006 17:36
212.113.112.201
, 153 байт)
Ответ:
—
Kris
(01.12.2006 17:59
80.90.116.9
, 14 байт)
Ответ:
—
SAZH
(01.12.2006 18:29
212.113.112.201
, 1134 байт)
Ответ:
—
SAZH
(01.12.2006 18:03
212.113.112.201
, 148 байт)
Инициализирую ROM
—
zlyh
(01.12.2006 11:37
194.186.73.110
, 2580 байт)
Вот что получилось.
—
zlyh
(05.12.2006 12:52
194.186.73.110
, 1814 байт)
ОБшибся: signal ROM : rom_t := ROMinit_c;
—
zlyh
(05.12.2006 12:53
194.186.73.110
,
пустое
)
Ответ (+)
—
andrew_b
(01.12.2006 15:36
89.18.130.241
, 153 байт)
Пасиб. Боюсь в 32 бита не уложусь. И всё же мы придём к одномерному.
—
zlyh
(01.12.2006 15:42
194.186.73.110
,
пустое
)
Напишите
—
V61
(01.12.2006 15:13
195.245.194.142
, 95 байт)
так так и делаю
—
zlyh
(01.12.2006 15:27
194.186.73.110
, 575 байт)
Наверно буду передавать одномерный массив
—
zlyh
(01.12.2006 15:38
194.186.73.110
, 344 байт)
А может быть здесь есть спецы по ISDN: Подскажите есть ли в инете примеры проектов ISDN терминала на FPGA/CPLD интересует сырец на VHDL?
—
Make_Pic
(24.11.2006 08:14
194.186.248.34
, 138 байт)
Quartus II & Array of record types
—
Abanamat
(22.11.2006 19:16
212.213.178.9
, 665 байт)
Нормально квартус работает с массивами рекордов. Ищите синтаксические ошибки.
—
cms
(28.11.2006 13:23
213.148.16.122
,
пустое
)
Ответ:
—
Abanamat
(22.11.2006 19:16
212.213.178.8
, 32 байт)
Ответ:
—
Abanamat
(22.11.2006 19:24
212.213.178.10
, 95 байт)
прошу прощения, ступил. естественно сдвиговые регистры
—
Abanamat
(22.11.2006 22:14
212.213.178.9
,
пустое
)
про рекорды, так и не понял, нет (–)
—
Abanamat
(23.11.2006 14:49
212.213.178.10
,
пустое
)
есть ли в природе тулза, которая по структурному описанию Verilog/VHDL/etc генерит и ЭКСПОРТИРУЕТ векторную RTL схемотехнику???
—
yes
(20.11.2006 19:13
87.236.81.130
, 360 байт)
Allow to generate graphics out of the original text for both block diagram, flow-chart (combinational, sequential or Algorithmic FSM) and state machine
—
Victor®
(21.11.2006 17:16
195.46.37.133
,
пустое
,
ссылка
)
более общий вопрос - если нужно документировать дизайн в визио - каким путем лучше пользоваться?
—
yes
(20.11.2006 19:15
87.236.81.130
,
пустое
)
(+)
—
cdg
(21.11.2006 09:02
80.68.3.242
, 136 байт)
увы, квартус это не наш путь :( - но и хочется что-то более конфигурируемого
—
yes
(21.11.2006 14:24
87.236.81.130
,
пустое
)
AHDL
—
smol
(02.11.2006 14:37
194.226.182.125
, 61 байт)
inout при моделировании
—
Frog
(01.11.2006 17:46
194.85.185.254
, 442 байт)
Ответ: reg [7:0] WriteData=0; // output latch for data write
—
rustelcom
(23.11.2006 06:26
194.126.170.246
,
пустое
)
нигде не видно строчки wire [7:0] pcu_data;
—
IgorK
(18.11.2006 10:13
195.131.84.202
,
пустое
)
А накой она? Если inout имеет по умолчанию тип wire?
—
SM
(20.11.2006 11:46
213.141.159.26
,
пустое
)
Ответ: А в тестбенче тоже источник должен быть с Z состоянием
—
dmv
(01.11.2006 18:25
217.23.67.58
,
пустое
)
Ответ:
—
Frog
(01.11.2006 18:12
194.85.185.254
, 71 байт)
инструкция к модулю EMM TINY-S 1120
—
ИЛЬЯ
(30.10.2006 23:44
195.177.120.36
, 157 байт)
Помогите с дифсистемой
—
flyer267
(30.10.2006 21:50
83.171.77.165
, 313 байт)
Контроллер...
—
iva
(30.10.2006 11:27
82.207.87.125
, 483 байт)
Посмотрите на Solartron
—
Stewart Little
(02.11.2006 18:10
82.140.81.2
,
пустое
,
ссылка
,
картинка
)
С такими количествами контроллер будет "золотым"
—
HeatSink
(02.11.2006 13:37
85.90.126.18
,
пустое
)
Новое сообщение
|
Главная страница
|
Раздел "Электроника"
|
Карта сайта
Web
telesys.ru