Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Языки описания аппаратуры (VHDL и др.)

Простой вопрос по VHDL.

Отправлено JohnKorsh 06 апреля 2007 г. 16:57


Можно ли сигналом, декларированным как
signal sig_Data_RAM_L: STD_LOGIC_VECTOR(7 downto 0);
управлять из разных процессов.
При моделировании ModelSim пишет Error при попытке происвоения (<=) сигналу значений разными процессами.
Шина данных, хотелось бы из разных процессов.

Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
поделите шесть пополам:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru