Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Языки описания аппаратуры (VHDL и др.)

Ответ:

Отправлено IO 27 июня 2007 г. 16:40
В ответ на: Synplify отправлено <font color=gray>6kol`nik</font> 25 июня 2007 г. 09:48

library ieee;
library synplify;
use ieee.std_logic_1164.all;
entity tristate2 is
port (input3,
input2,
input1,
input0: in std_logic_vector (7 downto 0);
enable : in std_logic_vector (3 downto 0);
qout : out std_logic_vector (7 downto 0) );
end tristate2;

architecture multiple_drivers of tristate2 is
attribute syn_tristatetomux : integer;
attribute syn_tristatetomux of multiple_drivers :
architecture is 4;
signal temp : std_logic_vector (7 downto 0);

begin
temp <= input3 when enable(3) = '1'
else "ZZZZZZZZ" ;

temp <= input2 when enable(2) = '1'
else "ZZZZZZZZ" ;

temp <= input1 when enable(1) = '1'
else "ZZZZZZZZ" ;

temp <= input0 when enable(0) = '1'
else "ZZZZZZZZ" ;
qout <= temp and input0;
end multiple_drivers;

Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
умножьте 2 на три:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru