[an error occurred while processing this directive]
[an error occurred while processing this directive]
|
Подскажите пожалуйста новичку ,что не так в этой модели типа 1533АП6.cod-разрешение (выход из Z-состояния) coz-направление передачи.a,b - входы/выходы.Test_bench не проходит.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity ap6 is
Port (cod,coz: in std_logic;
a,b:inout std_logic_vector(7 downto 0));
end ap6;
architecture Behavioral of ap6 is
signal n_state,p_state: std_logic_vector(7 downto 0);
begin
a <= n_state when (coz = '0')
else "ZZZZZZZZ";
b <= p_state when (coz = '0')
else "ZZZZZZZZ";
process(cod,coz)
begin
if (cod = '1') then p_state <= a;
if (cod = '1') then n_state <= b;
end if;
end if;
end process;
end Behavioral;
E-mail: info@telesys.ru