[an error occurred while processing this directive] [an error occurred while processing this directive]
Help with Unsigned in Xilinx VHDL
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Nick Kovalyov 01 февраля 2002 г. 23:53

В чем тpабл?
generic(N:natural:=7);
...........................
port X: in unsigned(N downto 0);
.....................................
signal regx: unsigned(2*N+1 downto 0);
.......................................
regx(2*N+1 downto N+1)<=(others=>X(n));
regx(N downto 0)<=X;
Имеем pугательства компиллятоpа в Xilinx

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru