[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ: (+)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено IgorK 06 марта 2002 г. 20:33
В ответ на: Ответ: а как быть с начальной инициализацией? имулировать reset? отправлено Ildarka 06 марта 2002 г. 12:57

Почему эмулировать? Будет сигнал Reset - и всё. Его не обязательно заводить снаружи - пусть он формируется внутри (при включении схемы начинает считать небольшой счетчик, старший разряд которого запрещает счет - вот готовый Reset).
"В этих случаях (когда необходим сигнал гарантированного сброса/установки), берется двоичный счетчик определенной длины (смотря какую задержку после включения питания нужно получить, счет по CLK), старший его разряд заводится на CountEnable самого себя, и сигнал сброса [длительностью начиная с одного такта] формируется из перепада старшего разряда 0->1"

Ссылка по кодированию FSM:
http://www.geocities.com/SiliconValley/Screen/2257/vhdl/state/one-hot.html

А вот что бывает без Reset-a:
http://www.telesys.ru/wwwboards/fpga/16/messages/5525.shtml


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru