[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ: after 40 ns в MAX+plus II 9.23 Baseline не работает и др.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Vladimir_vss 26 апреля 2002 г. 18:36
В ответ на: after 40 ns в MAX+plus II 9.23 Baseline не работает и др. отправлено Igorek 25 апреля 2002 г. 23:45

Я пользуюсь MAX+plus II 9.23 Baseline (там где я работаю на нем рисуют схемы).
-- И правильно делают, т.к. в schematic entry не возникает подобных вопросов. Если я правильно понял трудности, то ответ: Функции типа: Например (after 40 ns) или (WAIT for 40 ns), работают только в симуляторе. В VHDL для "Implementation" можно использовать только то что может быть реализовано в железе. Для синхронного вывода STD_LOGIC_VECTOR только регистры могут быть использованы:
process (Osc_Clk)
begin
if (rising_edge(Osc_Clk)) then
(STD_LOGIC_VECTOR (out_data)) <= (STD_LOGIC_VECTOR (in_data));
end if;
end process;
--Это гарантирует синхронность в несколько сотен пикосекунд.


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru