[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Almaz 27 апреля 2002 г. 23:12
В ответ на: Ответ: after 40 ns в MAX+plus II 9.23 Baseline не работает и др. отправлено Vladimir_vss 26 апреля 2002 г. 18:36

process (Osc_Clk)
begin
if (rising_edge(Osc_Clk)) then
(STD_LOGIC_VECTOR (out_data)) <= (STD_LOGIC_VECTOR (in_data));
end if;
end process;
Это гарантирует синхронность в несколько сотен пикосекунд.

--Смотря куда разводиш.
Вообще VHDL в MAX-plus какой-то кривой.


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru