[an error occurred while processing this directive] [an error occurred while processing this directive]
IEEE.std_logic_unsigned.conv_integer или IEEE.std_logic_signed.conv_integer в зависимости от арифметики (беззнаковая/знаковая)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено AndrewB 06 мая 2002 г. 15:01
В ответ на: Как STD_LOGIC_VECTOR умножить на число чтобы получился INTEGER который пойдет в переменную INTEGER ? отправлено Igorek 04 мая 2002 г. 18:22


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru