Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Языки описания аппаратуры (VHDL и др.)

Сообщение об ошибке симуляции в Quartus II

Отправлено Иван2004 19 ноября 2007 г. 02:42


Немного далёк от программироавания ПЛИС, но вот пришлось разбираться. Создаю проект, компилирую, создаю .vwf и рисую на входах нужные входные диаграммы. Далее запкускаю симуляцию. Все проходит нормально, но в самом конце появляется сообщение:

Error: Simulation results from C:/altera/61/quartus/bin/db/MPSiS.sim.cvwf (0 ps to 100.0 us) do not match expected results from vector source file C:/altera/61/quartus/bin/MPSiS.vwf

При этом в vwf красным нарисована нужная мне сэмулированная диаграмма поверх черной старой... Я так понимю, Quartus сверяет полученные результаты из модели VHDL и то что я нарисовал. И естественно бракует это дело, тк я рисую только входы. Как от этого избавииться? К примеру, сделать так как это в Activ HDL рисуется?



Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
введите число 123:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru