Телесистемы
 Разработка, производство и продажа радиоэлектронной аппаратуры
На главную   | Карта сайта | Пишите нам | В избранное
Требуется программист в Зеленограде
- обработка данных с датчиков; ColdFire; 40 тыс.
e-mail:jobsmp@pochta.ru

Телесистемы | Электроника | Конференция «Языки описания аппаратуры (VHDL и др.)

Active hdl 8.1+ verilog: как подключить virtex2p library?

Отправлено ++ 31 октября 2008 г. 14:58



# Warning: VCP2515 pci2_ram_16x40d.v : (59, 1): Undefined module: RAM16X1D was used. Port connection rules will not be checked at such instantiations.

Установлены:
XilinxVHDLLibrariesISE10.1SP3IPUpdate3forActive-H(..)
XilinxVerilogLibrariesISE10.1SP3IPUpdate3forActiv(..)
XilinxSchematicLibrariesISE10.1SP3forActive-HDL8.1

Меню: Design Setting -> verilog compiler-> у меня выглядит без окон verilog libraries , defined macros

Не так как на рисунке.

http://support.aldec.com/KnowledgeBase/Article.aspx?aid=000743&show=Dia00007.htm

Так окно выглядит только у меня?


Спасибо.


Составить ответ | Вернуться на конференцию

Ответы


Отправка ответа
Имя*: 
Пароль: 
E-mail: 
Тема*:

Сообщение:

Ссылка на URL: 
URL изображения: 

если вы незарегистрированный на форуме пользователь, то
для успешного добавления сообщения заполните поле, как указано ниже:
введите число 387:

Перейти к списку ответов | Конференция | Раздел "Электроника" | Главная страница | Карта сайта

Rambler's Top100 Рейтинг@Mail.ru
 
Web telesys.ru