[an error occurred while processing this directive] [an error occurred while processing this directive]
и еще
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено yes 13 июня 2002 г. 12:01
В ответ на: Ответ: отправлено yes 13 июня 2002 г. 11:56

ну и растет количество средств для SoC:
datapath синтез
математические библиотеки
специальный низкопотребляющий синтез, автоматизация тестов и т/п

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru