[an error occurred while processing this directive] [an error occurred while processing this directive]
ПОМОГИТЕ (++++)
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Valera_2002 18 июня 2002 г. 16:36

Вот описание двух тирггеров:

`timescale 1ns / 1ns

module register ( clk,in ,out );

output out;
input in,clk ;
wire in,clk,out;
reg dff1, dff2;

always @(posedge clk) dff1 = in;

always @(posedge clk) dff2 = dff1;

assign out = dff2;

endmodule

вот тестбенч :

`timescale 1ns / 1ns
module register_tb;

reg clk;
reg in;
wire out;

initial
begin
clk = 0;
forever #20 clk=~clk;
end

initial
begin
in = 0;
forever #265 in=~clk;
end

initial
begin
#10000 $stop;
end

// Unit Under Test port map
register UUT (
.clk(clk),
.in(in),
.out(out));

initial
$monitor($realtime,,"ns %h %h %h ",clk,in,out);
endmodule

вопрос - почему оно не работает ? содержимое тригеров в симуляторе обновляется одновременно хотя должно быть последовательно.


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru