[an error occurred while processing this directive] [an error occurred while processing this directive]
Уважаемый Vova75! Что мешает CLK/2 подать на Enable? Не важно, на чем писать. См. примеры в папке Max2work для начала.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
[an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено Victor Yurchenko 25 июня 2002 г. 14:02
В ответ на: Пишу на verilog'е , комил. симпифи(кстати там в констрайне есть такая фича Multi-Cicle Paths) . Можно получить второй клок делением главного, но возникает варнинг о не совсем точном подсчете врем. путей. А хочется чтоб синхронно и от одного главного клока. отправлено Vova75 25 июня 2002 г. 05:06


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru