[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))
миниатюрный аудио-видеорекордер mAVR

Отправлено Vladimir_vss 12 июля 2002 г. 21:40
В ответ на: 1-й процесс работает когда s=1;2-й когда s=0;MAX выдает : Signal "msec1" has multiple sources Не могу понять где ошибка.Help me Please.. отправлено Igorek 10 июля 2002 г. 00:29

Вот это как раз один из примеров когда для начала нужно нарисовать схемку (конечно учитывая что тригер работает только с одним "клоком" и только по нарастающему или спадающему фронту, а так-же у тригера есть "CE" и асинхронный "сет" или "ресет"), на схемке изобразить что хотелось бы, а только потом, поняв как это может работать на конкретной FPGA, можно писать VHDL текст.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru