[an error occurred while processing this directive] [an error occurred while processing this directive]
Сравнение ALDEC Active-HDL 5.2 & MTI ModelSim SE 5.6
(«Телесистемы»: Конференция по продукции «Конференция «Языки описания аппаратуры (VHDL и др.)»)

миниатюрный аудио-видеорекордер mAVR

Отправлено jayvee 24 августа 2002 г. 21:43
В ответ на: вдогонку:и ваше мнение о пакете FPGA Advantage 5.3 Фирмы Mentor Graphics отправлено sdimas 23 августа 2002 г. 04:35

Начнём с того, что видишь на экране: моё сугубо личное мнение: такого интерфейса, как у Active-HDL 5.2 нету ни у другого любого EDA инструмента. AHDL обладает очень силным design entry. Т.е. с вводом проекта проблем не возникнет. У ModelSim интерфейс корявый, написаный на tcl/tk тормозит не по детски, и работать с ним не приятно. Создается такое впечатление, что он полностью нефункционален.
Насчет скорости моделирования: AHDL проигрывает ModelSim в моделирования Verilog в два с половиной раза. Но ModelSim и штука не дешевая.

PS А вы в курсе, что Active-HDL сейчас делают в основном украинские программисты? (о:

Составить ответ ||| Конференция ||| Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов ||| Конференция ||| Архив ||| Главная страница ||| Содержание

E-mail: info@telesys.ru