[an error occurred while processing this directive] [an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция по продукции «Конференция «Языки описания аппаратуры (VHDL и др.)»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Al 26 августа 2002 г. 16:28
В ответ на: oshibok net a gotovuu prosgivku ISE posle Synopsys ne delaet. Vot v tschom broblema. Eto ay dlay oznakomleniay poproboval отправлено KA 26 августа 2002 г. 15:27

Это надо смотреть какие ошибки ISE выдаёт. Так трудно сказать.

Составить ответ ||| Конференция ||| Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов ||| Конференция ||| Архив ||| Главная страница ||| Содержание

E-mail: info@telesys.ru