[an error occurred while processing this directive] [an error occurred while processing this directive]
не работает
(«Телесистемы»: Конференция по продукции «Конференция «Языки описания аппаратуры (VHDL и др.)»)

миниатюрный аудио-видеорекордер mAVR

Отправлено coordinator 26 августа 2002 г. 17:45
В ответ на: почту посмотри отправлено KA 23 августа 2002 г. 22:48

попытался запустить в ModelSim SE PLUS 5.5f (пришлось поправить и добавить немного). Входные воздействия идут, а реакции нет.
Может нужно на другом симуляторе?

Составить ответ ||| Конференция ||| Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов ||| Конференция ||| Архив ||| Главная страница ||| Содержание

E-mail: info@telesys.ru