[an error occurred while processing this directive]
Поддерживаю, и ещё один момент
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено dsmv 02 сентября 2002 г. 12:32
В ответ на: Ответ: Ну почеу же .... отправлено jackal 30 августа 2002 г. 01:30


Не надо забывать про моделирование всей ПЛИС в составе реальной схемы,
на VHDL это очень просто и удобно. Сделать модели внешних элементов на поведенческом уровне,используя конструкции типа

wait until rising_edge( clk ); wrh<='0' after 5 ns;
wait until rising_edge( clk ) and ack='1'; wrh<='1' after 5 ns;

не представляет большой сложности. А получем модель системмы максимально приближенную к реальности. Лично я так давно работаю и вполне доволен.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru