[an error occurred while processing this directive]
Подскажите
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Arhipov 24 сентября 2002 г. 11:27

Нужно значеение счётчика выдыть на выход
entity rs_rec is
port (
k: out std_logic_vector(0 to 31)
);
end rs_rec;

architecture rs_rec_arch of rs_rec is
signal k: bit_vector(0 to 31);
begin
delit: process(clk)
variable k: BIT_VECTOR(0 to 31);
begin
k (0 to 31) := x"00000000";
if (rising_edge(clk)) and (k(0 to 31)/=x"1111101") then
***на эту строку ругаеться***k(0 to 31) <= k(0 to 31) + x"00000001";
else
end if;
end process delit;
я пробую присвоить бит вектору новое значание а он ругается на несоответствие типов справа и слева

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru