[an error occurred while processing this directive]
Не надо толкать G в generic и все будет ок :-)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Valeri 21 апреля 2004 г. 11:49
В ответ на: Господа есть такая проблема, при попытке сделать так(+): отправлено Vitus_strom 21 апреля 2004 г. 11:03

Например так:

entity R is
generic(N : integer := 64);
port(G : in std_logic_vector(N-1 downto 0) := (others=>'0');
end R;

Не могу придумать, зачем вам понадобилось толкать std_logic_vector в generic секцию.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru