[an error occurred while processing this directive]
круто!!!ж-)))) .........может что то типа этого тебе нужно?(+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Postoroniy_V 22 апреля 2004 г. 17:54
В ответ на: Подскажите по VeriLog (+) отправлено Builder 22 апреля 2004 г. 17:29

module D_D_F3 ( OUT ,CLK ,IN );
parameter DEEP = 3 ;//на 3-им такте появится снаружи

input CLK ;
wire CLK ;
input IN ;
output OUT ;

reg [DEEP:0] delay;


always @(posedge CLK )
begin
delay[0]=IN;
delay=delay<<1;
end
assign OUT = delay[DEEP];

endmodule

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru