[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция 'Программируемые логические схемы и их применение')

миниатюрный аудио-видеорекордер mAVR

Отправлено andrew_b 23 июля 2004 г. 16:03
В ответ на: Помогите побороть глюк - а то уже .... (+) отправлено Amid 23 июля 2004 г. 15:43

Сообщение

... clock net 'ce_a_bufgp' ...

наводит на мысль, что CE протащен через BUFGP. Надо сказать синтезатору, чтобы этого не делал.

> сигнал СЕ в первом процессе используется как клок
Это вы так думаете. У вас в этом процессе столько триггеров... Естественно, что вся времянка разваливается.

Еще:

process (reset,ce_a,start_a)
...
begin
if (reset = '0' or start_a = false) then
...
elsif (ce_a'event and ce_a = '0') then
if start_a = false then

Зачем этот if? Если (start_a = false), то вы сюда и так никогда не попадете. Это асинронный сброс, и анализировать его в синхронной части процесса бессмысленно.

Хотите добрых советов?
Отвыкайте от преременных.
Не делайте зоопарк типов: тип boolean ничем не лучше std_logic, а integer в entity лучше тоже заменить на std_logic_vector.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru