[an error occurred while processing this directive]
Тут такое дело.
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено V61 04 августа 2004 г. 15:51
В ответ на: (+) отправлено Doka 04 августа 2004 г. 15:20

Во-первых, сдвигатель на базе "srl", вряд ли будет оптимален (думаю лучше строить свой).
Во-вторых, он Вам и не нужен.
Лучше что-то типа
i <= conv_integer(s); -- s - номер позиции.
o <= a( i downto (i-12));
Такая штука (12 MUX 39x1) будет не оптимальна.
Но в качестве базовой подойдет. Если не устроит по ресурсам, соптимизируете это дерево вручную(ориентировочно - 3-4 Slice на бит).


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru