[an error occurred while processing this directive]
Ответ: У меня на VHDL
(«Телесистемы»: Конференция 'Программируемые логические схемы и их применение')

миниатюрный аудио-видеорекордер mAVR

Отправлено imsushka 20 августа 2004 г. 14:12
В ответ на: Вот конкретный пример (+) отправлено SM 20 августа 2004 г. 11:11

Я счас на работе, вечером покажу прогу.
Мне надо 1024 байта и максимально 256 бит шириной.
1024 по 8 бит
512 по 16
256 по 32
128 по 64
64 по 128
32 по 256
и двух портовая

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru