[an error occurred while processing this directive]
При копи-пасте немного поколечились концы строк. Еще раз (+)
(«Телесистемы»: Конференция 'Программируемые логические схемы и их применение')

миниатюрный аудио-видеорекордер mAVR

Отправлено andrew_b 27 сентября 2004 г. 13:06
В ответ на: Понятно. Я так и думал. (+) отправлено andrew_b 27 сентября 2004 г. 13:03

architecture rtl of MyEntity is

-- declare black box component
component SRL16 is
port(
D : in std_logic;
CLK : in std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
Q : out std_logic
);
end component;
attribute box_type of SRL16 : component is "primitive";

-- synthesis translate_off
-- simulaton models
-- associate black box interface with simulation model
for all: SRL16 use
entity UNISIM.SRL16(SRL16_V);
-- synthesis translate_on

begin
...
mapSRL16: SRL16
port map(
D => D,
CLK => Clk,
A0 => '0',
A1 => '0',
A2 => '1',
A3 => '1',
Q => Q
);
...
end rtl;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru