[an error occurred while processing this directive]
Кстати (+)
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено dxp 20 декабря 2004 г. 13:47
В ответ на: Неправда(+) отправлено ux 20 декабря 2004 г. 12:20

с тех пор, как перешел на Верилог, не использовал НИ ОДНОГО LPM объекта - в Verilog/VHDL они просто не нужны (сие не относится к памяти и ФАПЧ, но это и не LPM, а altsyncram и altpll). В этих языках объекты LPM (счетчики, регистры и прочее) - не более, чем артефакты... Хотя нет, соврал - таки использовал lpm_mult - не понравилось, как синтезатор родил умножитель и особенно не понравилась туча предупреждений не по делу. Поэтому заюзал в виде готового блока снаружи. Но это исключение, в остальном LPM в Verilog/VHDL без надобности.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru