[an error occurred while processing this directive]
Ответ:
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено SeregaDoc 17 июня 2005 г. 18:50
В ответ на: Не совсем понятно переделать, код в студию! отправлено cdg 17 июня 2005 г. 18:43

Было
if RST='0' then
--код сброса
elsif CLK='1' and CLK'event then
--код
end if;

Стало
if CLK='1' and CLK'event then
if RST='0' then
--код сброса
else
--код
end if;
end if;

Второй вариан быстрее.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru