[an error occurred while processing this directive] [an error occurred while processing this directive]
v comp.cadence.cad govoryat chto posle syntheza poluchili
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено yes 13 мая 2002 г. 18:53
В ответ на: eto drugaya zadacha: "array instantiation" отправлено yes 13 мая 2002 г. 18:49

module big_module(abus, bbus);

input [15:0] abus;
output [15:0] bbus;

my_module bus_inverters_15(.i(abus[15]), .o(bbus[15]));
my_module bus_inverters_14(.i(abus[14]), .o(bbus[14]));
my_module bus_inverters_13(.i(abus[13]), .o(bbus[13]));
my_module bus_inverters_12(.i(abus[12]), .o(bbus[12]));
my_module bus_inverters_11(.i(abus[11]), .o(bbus[11]));
my_module bus_inverters_10(.i(abus[10]), .o(bbus[10]));
my_module bus_inverters_9(.i(abus[9]), .o(bbus[9]));
my_module bus_inverters_8(.i(abus[8]), .o(bbus[8]));
my_module bus_inverters_7(.i(abus[7]), .o(bbus[7]));
my_module bus_inverters_6(.i(abus[6]), .o(bbus[6]));
my_module bus_inverters_5(.i(abus[5]), .o(bbus[5]));
my_module bus_inverters_4(.i(abus[4]), .o(bbus[4]));
my_module bus_inverters_3(.i(abus[3]), .o(bbus[3]));
my_module bus_inverters_2(.i(abus[2]), .o(bbus[2]));
my_module bus_inverters_1(.i(abus[1]), .o(bbus[1]));
my_module bus_inverters_0(.i(abus[0]), .o(bbus[0]));
endmodule

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru