[an error occurred while processing this directive]
Ответ: Внутри
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive]

Отправлено Victor 08 ноября 2000 г. 15:14
В ответ на: Согласен с предыдущим оратором (+) отправлено Stewart Little 08 ноября 2000 г. 14:43


Может я и не специалист в VHDL но:

1. Как-же так! Оператор * СТАНДАРТНОГО по своему естеству VHDL
может НЕ/КРИВО поддерживаться в таких серьезных системах синтеза?
Конечно, есть много способов реализации перемножителя, но
эффективность одного и того-же способа (как я думаю) будет разная для разных архитектур ПЛИС.

Такой-же способ есть Technology Independent (по Actel)

2. Вчера еще поэкспериментировал. Получилось:
MAX+PLUS II при иcпользовании GDF c LPM-функциями регистров и
умножителя дает результат ~ как Exemplar Synopsis!!!!!

Т.е., получается что парни (может девушки :-)) из Synplicity
лучше знакомы с архитектурой Altera, чем сама Altera.
Или их LPM не такие уж оптимизированные, как они заявляют !?

Просветите, а то уже голова кгугом идет

Спасибо за внимание к моим изысканиям

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru