[an error occurred while processing this directive]
Как объяснить ModelSim'у что нужно инициализировать блочную память в XCV?
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено kilgor 05 января 2003 г. 17:53

С помощью
attribute INIT_00: string;
...
attribute INIT_00 of FIFO_RAM0 : label is X"48_45_4C_4C_4F_2C_20_57";
...
ничего не получается. MS считает что память инициализирована нулями.
Кстати как правильно реализовать инициализацию после трассировки?

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru