[an error occurred while processing this directive]
Я не старался формировать свои рекомендации, скорее указать на общепринятые в мире VHDL
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Valeri 21 ноября 2003 г. 09:55
В ответ на: меня больше интерсовал вопрос, кто чем пользуется из участников конференции отправлено yes 21 ноября 2003 г. 09:31

В FAQ'е тоже не говорится, что библиотеки std_logic_signed/unsigned могут осутствовать, скорее говорится о том, что их содержимое от вендора к вендору разное. Какая уж тут переносимость VHDL кода?

Если говорить про личный опыт, то как только вам в одном файле потребуется работать как со знаковыми, так и с беззнаковыми переменными вы тут же вспомните о рекомендации пользоваться numeric_std.
Характерный пример: нужно сделать цифровой фильтр (FIR), который принципиально оперирует со знаковыми переменными (сумматоры, умножители). Одновременно требуется работать с обычными двоичными счетчиками как с беззнаковыми векторами. Используя numeric_std все получается красиво, просто и без каких бы то ни было проблем. Попытка заставить компилироваться проект со знаковыми и беззнаковыми векторами пользуясь std_logic_signed и std_logic_unsigned мне не удалась, хотя я потратил на это заметное время.
С тех пор пользуюсь только numeric_std.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru