[an error occurred while processing this directive]
а можно пример на VHDL ??? бо я не так давно на нём писать начал, и не совсем ещё освоил
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено Bug 30 марта 2004 г. 13:03
В ответ на: В данном случае пропустите CLK2 через два триггера тактируемые CLK1. отправлено -=Sergei=- 30 марта 2004 г. 12:55


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru