[an error occurred while processing this directive]
Наверно так.
(«Телесистемы»: Конференция «Языки описания аппаратуры (VHDL и др.))

миниатюрный аудио-видеорекордер mAVR

Отправлено A_S_N 07 июля 2004 г. 13:13
В ответ на: Начинаю изучать…VHDL. Помогите плз. Как описать 10р-счетчик, который считает 0-759, и при состоянии 400 на дополнительный выход выставляет “1” ? отправлено Интересно 07 июля 2004 г. 12:09

constant MAX_COUNT: integer :=759;
constant SET_BIT: integer :=400;

signal Count: integer range 0 to MAX_COUNT;
signal Strobe: std_logic;

SYNC:process(Reset,Clk)
begin
if Reset='0' then
Count<=0;
elsif rising_edge(Clk) then
if Count=MAX_COUNT then Count<=0;
else Count<=Count + 1;
end if;
end if;
end process SYNC;

Strobe<='1' when Count=SET_BIT else '0';

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru