[an error occurred while processing this directive]
Господа есть такая проблема, при попытке сделать так(+):
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Vitus_strom 21 апреля 2004 г. 11:03

entity R is
generic(
N : integer := 64;
G : std_logic_vector(N-1 downto 0) := (ohters=>'0');
);

Modelsim говорит что нельзя в одном месте обявить и использовать генерик, в связи с этим вопрос как это обойти?
N я передаю с верхнего уровня и в синтезаторе xilinx тоже всё проходит.
Отвечать просьба на е-мейл viktor.motspan at strom.cz
Заранее всем спасибо

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru