[an error occurred while processing this directive]
Никаких проблем, а зачем Вам это нужно?
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено V61 04 августа 2004 г. 12:20
В ответ на: кхм.. и тот и другой варианты вызывают ..(+) отправлено Doka 04 августа 2004 г. 12:07


library IEEE;
use IEEE.numeric_std.all;
entity prov_n is
Port ( a : in unsigned(15 downto 0);
s : in unsigned(3 downto 0);
o0 : out unsigned(15 downto 0);
o1 : out unsigned(15 downto 0));
end prov_n;

architecture Behavioral of prov_n is
begin
o0 <= shift_right(a,to_integer(s));
o1 <= a sll to_integer(s);

end Behavioral;


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru