[an error occurred while processing this directive]
наш ответ чемберлену (+)
(«Телесистемы»: Конференция 'Программируемые логические схемы и их применение')

миниатюрный аудио-видеорекордер mAVR

Отправлено Doka 27 сентября 2004 г. 12:19
В ответ на: Пакет с декларациями в студию. отправлено abdrew_b 27 сентября 2004 г. 08:43

library IEEE;
use IEEE.std_logic_1164.all;

library UNISIM;
use UNISIM.VComponents.all;

package FIR_DEC_COE is

----- Component RAMB16_S18_S18 -----
component RAMB16_S18_S18
-- pragma synthesis_off
generic(
WRITE_MODE_A : string := "READ_FIRST";
WRITE_MODE_B : string := "READ_FIRST"; -- "WRITE_FIRST"; -- for coe this?
INIT_A : bit_vector := X"00000";
SRVAL_A : bit_vector := X"00000";

INIT_B : bit_vector := X"00000";
SRVAL_B : bit_vector := X"00000");
-- pragma synthesis_on
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
DIPA : in STD_LOGIC_VECTOR (1 downto 0);
DIPB : in STD_LOGIC_VECTOR (1 downto 0);
ENA : in STD_logic;
ENB : in STD_logic;
WEA : in STD_logic;
WEB : in STD_logic;
SSRA : in STD_logic;
SSRB : in STD_logic;
CLKA : in STD_logic;
CLKB : in STD_logic;
ADDRA : in STD_LOGIC_VECTOR (9 downto 0);
ADDRB : in STD_LOGIC_VECTOR (9 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0);
DOPA : out STD_LOGIC_VECTOR (1 downto 0);
DOPB : out STD_LOGIC_VECTOR (1 downto 0));
end component;

----- Component MULT18X18 -----
component MULT18X18
port (A : in STD_LOGIC_VECTOR (17 downto 0);
B : in STD_LOGIC_VECTOR (17 downto 0);
P : out STD_LOGIC_VECTOR (35 downto 0) );
end component;


----- Component ACCUM_DEC -----
component accum_dec
Generic ( w_in : positive := 31;
w_acc: positive := 40);

Port ( clk : in std_logic;
rst : in std_logic;
ce : in std_logic;
k_d : in std_logic_vector(8 downto 0);
-- clk : in std_logic;
din : in std_logic_vector(w_in-1 downto 0);
-- valid : out std_logic;
dout : out std_logic_vector(w_acc-1 downto 0));
end component;

component shift_norm
Generic ( w_in : positive := 39;
w_out: positive := 12;
w_8 : positive := 8;
w_12 : positive := 12;
w_16 : positive := 16);
Port ( clk : in std_logic;
rst : in std_logic;
ce : in std_logic;
coe_shift : in std_logic_vector(w_8-1 downto 0);
coe_norm : in std_logic_vector(w_16-1 downto 0);
din : in std_logic_vector(w_in-1 downto 0);
dout : out std_logic_vector(w_out-1 downto 0));
end component;


component shift_norm_leo
Generic ( w_in : positive := 39;
w_out: positive := 12;
w_8 : positive := 8;
w_12 : positive := 12;
w_16 : positive := 16);
Port ( clk : in std_logic;
rst : in std_logic;
ce : in std_logic;
coe_shift : in std_logic_vector(w_8-1 downto 0);
coe_norm : in std_logic_vector(w_16-1 downto 0);
din : in std_logic_vector(w_in-1 downto 0);
dout : out std_logic_vector(w_out-1 downto 0));
end component;


----- Component Counter for Decimator -----
component Count_Dec
port (k_d : in STD_LOGIC_VECTOR (8 downto 0);
clk : in STD_LOGIC;
rst : in STD_LOGIC;
ce : in STD_LOGIC;
cou_out : out STD_LOGIC_VECTOR (8 downto 0) );
end component;

----- Component Counter for Decimator (last block)-----
component Count_Dec_inv
port (k_d : in STD_LOGIC_VECTOR (8 downto 0);
clk : in STD_LOGIC;
rst : in STD_LOGIC;
ce : in STD_LOGIC;
cou_out : out STD_LOGIC_VECTOR (8 downto 0) );
end component;

constant coe00_init_00 : bit_vector(255 downto 0) := X"000100010001000100010001000100010001000100010001000100010001003F";
constant coe00_init_01 : bit_vector(255 downto 0) := X"0001000100010001000100010001000100010001000100010001000100010001";
constant coe00_init_02 : bit_vector(255 downto 0) := X"0001000100010001000100010001000100010001000100010001000100010001";
constant coe00_init_03 : bit_vector(255 downto 0) := X"0001000100010001000100010001000100010001000100010001000100010001";
constant coe00_init_04 : bit_vector(255 downto 0) := X"0002000200020002000200020002000200020002000200020002000200010001";
constant coe00_init_05 : bit_vector(255 downto 0) := X"0002000200020002000200020002000200020002000200020002000200020002";
constant coe00_init_06 : bit_vector(255 downto 0) := X"0002000200020002000200020002000200020002000200020002000200020002";
constant coe00_init_07 : bit_vector(255 downto 0) := X"0002000200020002000200020002000200020002000200020002000200020002";
constant coe00_init_08 : bit_vector(255 downto 0) := X"0002000200020002000200020002000200020002000200020002000200020002";
constant coe00_init_09 : bit_vector(255 downto 0) := X"0002000200020002000200020002000200020002000200020002000200020002";
constant coe00_init_0A : bit_vector(255 downto 0) := X"0002000200020002000200020002000200020002000200020002000200020002";
constant coe00_init_0B : bit_vector(255 downto 0) := X"0002000200020002000200020002000200020002000200020002000200020002";
constant coe00_init_0C : bit_vector(255 downto 0) := X"0002000200020002000200020002000200020002000200020002000200020002";
constant coe00_init_0D : bit_vector(255 downto 0) := X"0002000200020002000200020002000200020002000200020002000200020002";
constant coe00_init_0E : bit_vector(255 downto 0) := X"0002000200020002000200020002000200020002000200020002000200020002";
constant coe00_init_0F : bit_vector(255 downto 0) := X"0002000200020002000200020002000200020002000200020002000200020002";
constant coe00_init_10 : bit_vector(255 downto 0) := X"0001000100010001000100010001000100010001000100010001000100010001";
constant coe00_init_11 : bit_vector(255 downto 0) := X"0001000100010001000100010001000100010001000100010001000100010001";
constant coe00_init_12 : bit_vector(255 downto 0) := X"0001000100010001000100010001000100010001000100010001000100010001";
constant coe00_init_13 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000001000100010001";
constant coe00_init_14 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_15 : bit_vector(255 downto 0) := X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00000000000000000000";
constant coe00_init_16 : bit_vector(255 downto 0) := X"FFFEFFFEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF";
constant coe00_init_17 : bit_vector(255 downto 0) := X"FFFEFFFEFFFEFFFEFFFEFFFEFFFEFFFEFFFEFFFEFFFEFFFEFFFEFFFEFFFEFFFE";
constant coe00_init_18 : bit_vector(255 downto 0) := X"FFFDFFFDFFFDFFFDFFFDFFFDFFFDFFFDFFFDFFFDFFFDFFFDFFFDFFFEFFFEFFFE";
constant coe00_init_19 : bit_vector(255 downto 0) := X"FFFCFFFCFFFCFFFCFFFCFFFCFFFCFFFCFFFCFFFCFFFDFFFDFFFDFFFDFFFDFFFD";
constant coe00_init_1A : bit_vector(255 downto 0) := X"FFFBFFFBFFFBFFFBFFFBFFFBFFFBFFFBFFFBFFFBFFFCFFFCFFFCFFFCFFFCFFFC";
constant coe00_init_1B : bit_vector(255 downto 0) := X"FFFAFFFAFFFAFFFAFFFAFFFAFFFAFFFAFFFAFFFAFFFAFFFAFFFBFFFBFFFBFFFB";
constant coe00_init_1C : bit_vector(255 downto 0) := X"FFF8FFF8FFF9FFF9FFF9FFF9FFF9FFF9FFF9FFF9FFF9FFF9FFF9FFF9FFFAFFFA";
constant coe00_init_1D : bit_vector(255 downto 0) := X"FFF7FFF7FFF7FFF7FFF7FFF7FFF8FFF8FFF8FFF8FFF8FFF8FFF8FFF8FFF8FFF8";
constant coe00_init_1E : bit_vector(255 downto 0) := X"FFF6FFF6FFF6FFF6FFF6FFF6FFF6FFF6FFF6FFF6FFF6FFF7FFF7FFF7FFF7FFF7";
constant coe00_init_1F : bit_vector(255 downto 0) := X"FFF4FFF4FFF4FFF4FFF4FFF4FFF5FFF5FFF5FFF5FFF5FFF5FFF5FFF5FFF5FFF5";
constant coe00_init_20 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_21 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_22 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_23 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_24 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_25 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_26 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_27 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_28 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_29 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_2A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_2B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_2C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_2D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_2E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_2F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_30 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_31 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_32 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_33 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_34 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_35 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_36 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_37 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_38 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_39 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_3A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_3B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_3C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_3D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_3E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe00_init_3F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_00 : bit_vector(255 downto 0) := X"FFF2FFF2FFF2FFF2FFF3FFF3FFF3FFF3FFF3FFF3FFF3FFF3FFF3FFF4FFF4FFF4";
constant coe01_init_01 : bit_vector(255 downto 0) := X"FFF0FFF0FFF1FFF1FFF1FFF1FFF1FFF1FFF1FFF1FFF1FFF2FFF2FFF2FFF2FFF2";
constant coe01_init_02 : bit_vector(255 downto 0) := X"FFEEFFEEFFEFFFEFFFEFFFEFFFEFFFEFFFEFFFEFFFF0FFF0FFF0FFF0FFF0FFF0";
constant coe01_init_03 : bit_vector(255 downto 0) := X"FFECFFECFFECFFEDFFEDFFEDFFEDFFEDFFEDFFEDFFEEFFEEFFEEFFEEFFEEFFEE";
constant coe01_init_04 : bit_vector(255 downto 0) := X"FFEAFFEAFFEAFFEAFFEAFFEBFFEBFFEBFFEBFFEBFFEBFFEBFFECFFECFFECFFEC";
constant coe01_init_05 : bit_vector(255 downto 0) := X"FFE8FFE8FFE8FFE8FFE8FFE8FFE8FFE9FFE9FFE9FFE9FFE9FFE9FFE9FFEAFFEA";
constant coe01_init_06 : bit_vector(255 downto 0) := X"FFE5FFE5FFE5FFE6FFE6FFE6FFE6FFE6FFE6FFE6FFE7FFE7FFE7FFE7FFE7FFE7";
constant coe01_init_07 : bit_vector(255 downto 0) := X"FFE2FFE3FFE3FFE3FFE3FFE3FFE3FFE4FFE4FFE4FFE4FFE4FFE4FFE5FFE5FFE5";
constant coe01_init_08 : bit_vector(255 downto 0) := X"FFE0FFE0FFE0FFE0FFE0FFE1FFE1FFE1FFE1FFE1FFE1FFE2FFE2FFE2FFE2FFE2";
constant coe01_init_09 : bit_vector(255 downto 0) := X"FFDDFFDDFFDDFFDDFFDEFFDEFFDEFFDEFFDEFFDFFFDFFFDFFFDFFFDFFFDFFFE0";
constant coe01_init_0A : bit_vector(255 downto 0) := X"FFDAFFDAFFDAFFDBFFDBFFDBFFDBFFDBFFDBFFDCFFDCFFDCFFDCFFDCFFDDFFDD";
constant coe01_init_0B : bit_vector(255 downto 0) := X"FFD7FFD7FFD7FFD7FFD8FFD8FFD8FFD8FFD8FFD9FFD9FFD9FFD9FFD9FFDAFFDA";
constant coe01_init_0C : bit_vector(255 downto 0) := X"FFD4FFD4FFD4FFD4FFD5FFD5FFD5FFD5FFD5FFD6FFD6FFD6FFD6FFD6FFD7FFD7";
constant coe01_init_0D : bit_vector(255 downto 0) := X"FFD1FFD1FFD1FFD1FFD1FFD2FFD2FFD2FFD2FFD2FFD3FFD3FFD3FFD3FFD3FFD4";
constant coe01_init_0E : bit_vector(255 downto 0) := X"FFCDFFCDFFCEFFCEFFCEFFCEFFCFFFCFFFCFFFCFFFCFFFD0FFD0FFD0FFD0FFD0";
constant coe01_init_0F : bit_vector(255 downto 0) := X"FFCAFFCAFFCAFFCBFFCBFFCBFFCBFFCBFFCCFFCCFFCCFFCCFFCCFFCDFFCDFFCD";
constant coe01_init_10 : bit_vector(255 downto 0) := X"FFC7FFC7FFC7FFC7FFC7FFC8FFC8FFC8FFC8FFC8FFC9FFC9FFC9FFC9FFC9FFCA";
constant coe01_init_11 : bit_vector(255 downto 0) := X"FFC3FFC3FFC3FFC4FFC4FFC4FFC4FFC5FFC5FFC5FFC5FFC5FFC6FFC6FFC6FFC6";
constant coe01_init_12 : bit_vector(255 downto 0) := X"FFC0FFC0FFC0FFC0FFC0FFC1FFC1FFC1FFC1FFC2FFC2FFC2FFC2FFC2FFC3FFC3";
constant coe01_init_13 : bit_vector(255 downto 0) := X"FFBCFFBCFFBDFFBDFFBDFFBDFFBDFFBEFFBEFFBEFFBEFFBEFFBFFFBFFFBFFFBF";
constant coe01_init_14 : bit_vector(255 downto 0) := X"FFB9FFB9FFB9FFB9FFB9FFBAFFBAFFBAFFBAFFBBFFBBFFBBFFBBFFBBFFBCFFBC";
constant coe01_init_15 : bit_vector(255 downto 0) := X"FFB5FFB5FFB5FFB6FFB6FFB6FFB6FFB7FFB7FFB7FFB7FFB7FFB8FFB8FFB8FFB8";
constant coe01_init_16 : bit_vector(255 downto 0) := X"FFB2FFB2FFB2FFB2FFB2FFB3FFB3FFB3FFB3FFB4FFB4FFB4FFB4FFB4FFB5FFB5";
constant coe01_init_17 : bit_vector(255 downto 0) := X"FFAEFFAEFFAFFFAFFFAFFFAFFFAFFFB0FFB0FFB0FFB0FFB1FFB1FFB1FFB1FFB1";
constant coe01_init_18 : bit_vector(255 downto 0) := X"FFABFFABFFABFFABFFACFFACFFACFFACFFACFFADFFADFFADFFADFFAEFFAEFFAE";
constant coe01_init_19 : bit_vector(255 downto 0) := X"FFA7FFA8FFA8FFA8FFA8FFA8FFA9FFA9FFA9FFA9FFAAFFAAFFAAFFAAFFAAFFAB";
constant coe01_init_1A : bit_vector(255 downto 0) := X"FFA4FFA4FFA5FFA5FFA5FFA5FFA5FFA6FFA6FFA6FFA6FFA6FFA7FFA7FFA7FFA7";
constant coe01_init_1B : bit_vector(255 downto 0) := X"FFA1FFA1FFA2FFA2FFA2FFA2FFA2FFA3FFA3FFA3FFA3FFA3FFA3FFA4FFA4FFA4";
constant coe01_init_1C : bit_vector(255 downto 0) := X"FF9EFF9EFF9FFF9FFF9FFF9FFF9FFF9FFFA0FFA0FFA0FFA0FFA0FFA1FFA1FFA1";
constant coe01_init_1D : bit_vector(255 downto 0) := X"FF9BFF9CFF9CFF9CFF9CFF9CFF9CFF9DFF9DFF9DFF9DFF9DFF9DFF9EFF9EFF9E";
constant coe01_init_1E : bit_vector(255 downto 0) := X"FF99FF99FF99FF99FF99FF9AFF9AFF9AFF9AFF9AFF9AFF9BFF9BFF9BFF9BFF9B";
constant coe01_init_1F : bit_vector(255 downto 0) := X"FF96FF96FF97FF97FF97FF97FF97FF97FF97FF98FF98FF98FF98FF98FF98FF99";
constant coe01_init_20 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_21 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_22 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_23 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_24 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_25 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_26 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_27 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_28 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_29 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_2A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_2B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_2C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_2D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_2E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_2F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_30 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_31 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_32 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_33 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_34 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_35 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_36 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_37 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_38 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_39 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_3A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_3B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_3C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_3D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_3E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe01_init_3F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_00 : bit_vector(255 downto 0) := X"FF94FF94FF94FF94FF94FF95FF95FF95FF95FF95FF95FF95FF96FF96FF96FF96";
constant coe02_init_01 : bit_vector(255 downto 0) := X"FF92FF92FF92FF92FF92FF92FF93FF93FF93FF93FF93FF93FF93FF94FF94FF94";
constant coe02_init_02 : bit_vector(255 downto 0) := X"FF90FF90FF90FF90FF90FF91FF91FF91FF91FF91FF91FF91FF91FF92FF92FF92";
constant coe02_init_03 : bit_vector(255 downto 0) := X"FF8EFF8FFF8FFF8FFF8FFF8FFF8FFF8FFF8FFF8FFF8FFF90FF90FF90FF90FF90";
constant coe02_init_04 : bit_vector(255 downto 0) := X"FF8DFF8DFF8DFF8DFF8DFF8EFF8EFF8EFF8EFF8EFF8EFF8EFF8EFF8EFF8EFF8E";
constant coe02_init_05 : bit_vector(255 downto 0) := X"FF8CFF8CFF8CFF8CFF8CFF8CFF8CFF8CFF8DFF8DFF8DFF8DFF8DFF8DFF8DFF8D";
constant coe02_init_06 : bit_vector(255 downto 0) := X"FF8BFF8BFF8BFF8BFF8BFF8CFF8CFF8CFF8CFF8CFF8CFF8CFF8CFF8CFF8CFF8C";
constant coe02_init_07 : bit_vector(255 downto 0) := X"FF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8B";
constant coe02_init_08 : bit_vector(255 downto 0) := X"FF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8B";
constant coe02_init_09 : bit_vector(255 downto 0) := X"FF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8B";
constant coe02_init_0A : bit_vector(255 downto 0) := X"FF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8BFF8B";
constant coe02_init_0B : bit_vector(255 downto 0) := X"FF8CFF8CFF8CFF8CFF8CFF8CFF8CFF8CFF8CFF8CFF8CFF8CFF8CFF8CFF8CFF8C";
constant coe02_init_0C : bit_vector(255 downto 0) := X"FF8EFF8DFF8DFF8DFF8DFF8DFF8DFF8DFF8DFF8DFF8DFF8DFF8DFF8DFF8CFF8C";
constant coe02_init_0D : bit_vector(255 downto 0) := X"FF8FFF8FFF8FFF8FFF8FFF8FFF8FFF8EFF8EFF8EFF8EFF8EFF8EFF8EFF8EFF8E";
constant coe02_init_0E : bit_vector(255 downto 0) := X"FF91FF91FF91FF91FF91FF90FF90FF90FF90FF90FF90FF90FF90FF8FFF8FFF8F";
constant coe02_init_0F : bit_vector(255 downto 0) := X"FF93FF93FF93FF93FF93FF93FF92FF92FF92FF92FF92FF92FF92FF91FF91FF91";
constant coe02_init_10 : bit_vector(255 downto 0) := X"FF96FF96FF96FF96FF95FF95FF95FF95FF95FF95FF94FF94FF94FF94FF94FF94";
constant coe02_init_11 : bit_vector(255 downto 0) := X"FF99FF99FF99FF98FF98FF98FF98FF98FF98FF97FF97FF97FF97FF97FF96FF96";
constant coe02_init_12 : bit_vector(255 downto 0) := X"FF9CFF9CFF9CFF9CFF9CFF9BFF9BFF9BFF9BFF9BFF9AFF9AFF9AFF9AFF99FF99";
constant coe02_init_13 : bit_vector(255 downto 0) := X"FFA0FFA0FFA0FF9FFF9FFF9FFF9FFF9EFF9EFF9EFF9EFF9EFF9DFF9DFF9DFF9D";
constant coe02_init_14 : bit_vector(255 downto 0) := X"FFA4FFA4FFA4FFA3FFA3FFA3FFA3FFA2FFA2FFA2FFA2FFA1FFA1FFA1FFA1FFA0";
constant coe02_init_15 : bit_vector(255 downto 0) := X"FFA9FFA8FFA8FFA8FFA7FFA7FFA7FFA7FFA6FFA6FFA6FFA6FFA5FFA5FFA5FFA4";
constant coe02_init_16 : bit_vector(255 downto 0) := X"FFADFFADFFADFFACFFACFFACFFABFFABFFABFFABFFAAFFAAFFAAFFA9FFA9FFA9";
constant coe02_init_17 : bit_vector(255 downto 0) := X"FFB2FFB2FFB2FFB1FFB1FFB1FFB0FFB0FFB0FFAFFFAFFFAFFFAFFFAEFFAEFFAE";
constant coe02_init_18 : bit_vector(255 downto 0) := X"FFB8FFB7FFB7FFB7FFB6FFB6FFB6FFB5FFB5FFB5FFB4FFB4FFB4FFB3FFB3FFB3";
constant coe02_init_19 : bit_vector(255 downto 0) := X"FFBDFFBDFFBCFFBCFFBCFFBBFFBBFFBBFFBAFFBAFFBAFFB9FFB9FFB9FFB8FFB8";
constant coe02_init_1A : bit_vector(255 downto 0) := X"FFC3FFC3FFC2FFC2FFC1FFC1FFC1FFC0FFC0FFC0FFBFFFBFFFBFFFBEFFBEFFBD";
constant coe02_init_1B : bit_vector(255 downto 0) := X"FFC9FFC9FFC8FFC8FFC7FFC7FFC7FFC6FFC6FFC5FFC5FFC5FFC4FFC4FFC4FFC3";
constant coe02_init_1C : bit_vector(255 downto 0) := X"FFCFFFCFFFCEFFCEFFCEFFCDFFCDFFCCFFCCFFCCFFCBFFCBFFCAFFCAFFCAFFC9";
constant coe02_init_1D : bit_vector(255 downto 0) := X"FFD6FFD5FFD5FFD4FFD4FFD3FFD3FFD3FFD2FFD2FFD1FFD1FFD1FFD0FFD0FFD0";
constant coe02_init_1E : bit_vector(255 downto 0) := X"FFDCFFDCFFDBFFDBFFDAFFDAFFDAFFD9FFD9FFD8FFD8FFD8FFD7FFD7FFD6FFD6";
constant coe02_init_1F : bit_vector(255 downto 0) := X"FFE3FFE2FFE2FFE1FFE1FFE1FFE0FFE0FFDFFFDFFFDFFFDEFFDEFFDDFFDDFFDC";
constant coe02_init_20 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_21 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_22 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_23 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_24 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_25 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_26 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_27 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_28 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_29 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_2A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_2B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_2C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_2D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_2E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_2F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_30 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_31 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_32 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_33 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_34 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_35 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_36 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_37 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_38 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_39 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_3A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_3B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_3C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_3D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_3E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe02_init_3F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_00 : bit_vector(255 downto 0) := X"FFE9FFE9FFE9FFE8FFE8FFE7FFE7FFE6FFE6FFE6FFE5FFE5FFE4FFE4FFE4FFE3";
constant coe03_init_01 : bit_vector(255 downto 0) := X"FFF0FFF0FFEFFFEFFFEFFFEEFFEEFFEDFFEDFFECFFECFFECFFEBFFEBFFEAFFEA";
constant coe03_init_02 : bit_vector(255 downto 0) := X"FFF7FFF7FFF6FFF6FFF5FFF5FFF4FFF4FFF4FFF3FFF3FFF2FFF2FFF1FFF1FFF1";
constant coe03_init_03 : bit_vector(255 downto 0) := X"FFFEFFFDFFFDFFFDFFFCFFFCFFFBFFFBFFFAFFFAFFFAFFF9FFF9FFF8FFF8FFF7";
constant coe03_init_04 : bit_vector(255 downto 0) := X"0005000400040003000300020002000200010001000000000000FFFFFFFFFFFE";
constant coe03_init_05 : bit_vector(255 downto 0) := X"000B000B000A000A000A00090009000800080008000700070006000600050005";
constant coe03_init_06 : bit_vector(255 downto 0) := X"001200110011001100100010000F000F000F000E000E000D000D000D000C000C";
constant coe03_init_07 : bit_vector(255 downto 0) := X"0018001800180017001700160016001600150015001400140014001300130012";
constant coe03_init_08 : bit_vector(255 downto 0) := X"001F001E001E001D001D001D001C001C001B001B001B001A001A001A00190019";
constant coe03_init_09 : bit_vector(255 downto 0) := X"00250024002400240023002300220022002200210021002100200020001F001F";
constant coe03_init_0A : bit_vector(255 downto 0) := X"002A002A002A0029002900290028002800280027002700260026002600250025";
constant coe03_init_0B : bit_vector(255 downto 0) := X"00300030002F002F002F002E002E002E002D002D002D002C002C002C002B002B";
constant coe03_init_0C : bit_vector(255 downto 0) := X"0035003500350034003400340033003300330032003200320031003100310030";
constant coe03_init_0D : bit_vector(255 downto 0) := X"003A003A003A0039003900390038003800380037003700370036003600360036";
constant coe03_init_0E : bit_vector(255 downto 0) := X"003F003E003E003E003E003D003D003D003C003C003C003C003B003B003B003A";
constant coe03_init_0F : bit_vector(255 downto 0) := X"0043004200420042004200410041004100410040004000400040003F003F003F";
constant coe03_init_10 : bit_vector(255 downto 0) := X"0046004600460046004600450045004500450044004400440044004300430043";
constant coe03_init_11 : bit_vector(255 downto 0) := X"004A004900490049004900490049004800480048004800470047004700470047";
constant coe03_init_12 : bit_vector(255 downto 0) := X"004C004C004C004C004C004C004B004B004B004B004B004B004A004A004A004A";
constant coe03_init_13 : bit_vector(255 downto 0) := X"004F004F004F004E004E004E004E004E004E004E004D004D004D004D004D004D";
constant coe03_init_14 : bit_vector(255 downto 0) := X"00510050005000500050005000500050005000500050004F004F004F004F004F";
constant coe03_init_15 : bit_vector(255 downto 0) := X"0052005200520052005200520051005100510051005100510051005100510051";
constant coe03_init_16 : bit_vector(255 downto 0) := X"0053005300530053005200520052005200520052005200520052005200520052";
constant coe03_init_17 : bit_vector(255 downto 0) := X"0053005300530053005300530053005300530053005300530053005300530053";
constant coe03_init_18 : bit_vector(255 downto 0) := X"0052005300530053005300530053005300530053005300530053005300530053";
constant coe03_init_19 : bit_vector(255 downto 0) := X"0052005200520052005200520052005200520052005200520052005200520052";
constant coe03_init_1A : bit_vector(255 downto 0) := X"0050005000500051005100510051005100510051005100510051005100510052";
constant coe03_init_1B : bit_vector(255 downto 0) := X"004E004E004F004F004F004F004F004F004F004F005000500050005000500050";
constant coe03_init_1C : bit_vector(255 downto 0) := X"004C004C004C004C004C004D004D004D004D004D004D004E004E004E004E004E";
constant coe03_init_1D : bit_vector(255 downto 0) := X"0049004900490049004A004A004A004A004A004B004B004B004B004B004B004C";
constant coe03_init_1E : bit_vector(255 downto 0) := X"0045004600460046004600460047004700470047004800480048004800480049";
constant coe03_init_1F : bit_vector(255 downto 0) := X"0041004200420042004200430043004300430044004400440044004500450045";
constant coe03_init_20 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_21 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_22 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_23 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_24 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_25 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_26 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_27 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_28 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_29 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_2A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_2B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_2C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_2D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_2E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_2F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_30 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_31 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_32 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_33 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_34 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_35 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_36 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_37 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_38 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_39 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_3A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_3B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_3C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_3D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_3E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe03_init_3F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_00 : bit_vector(255 downto 0) := X"003D003D003D003E003E003E003F003F003F003F004000400040004100410041";
constant coe04_init_01 : bit_vector(255 downto 0) := X"00380038003900390039003A003A003A003A003B003B003B003C003C003C003D";
constant coe04_init_02 : bit_vector(255 downto 0) := X"0033003300330034003400340035003500350036003600360037003700370038";
constant coe04_init_03 : bit_vector(255 downto 0) := X"002D002D002E002E002E002F002F003000300030003100310031003200320032";
constant coe04_init_04 : bit_vector(255 downto 0) := X"0027002700280028002800290029002A002A002A002B002B002C002C002C002D";
constant coe04_init_05 : bit_vector(255 downto 0) := X"0021002100210022002200230023002300240024002500250025002600260027";
constant coe04_init_06 : bit_vector(255 downto 0) := X"001A001A001B001B001C001C001C001D001D001E001E001F001F001F00200020";
constant coe04_init_07 : bit_vector(255 downto 0) := X"001300140014001400150015001600160017001700170018001800190019001A";
constant coe04_init_08 : bit_vector(255 downto 0) := X"000C000C000D000D000E000E000F000F00100010001000110011001200120013";
constant coe04_init_09 : bit_vector(255 downto 0) := X"00050005000600060007000700080008000800090009000A000A000B000B000C";
constant coe04_init_0A : bit_vector(255 downto 0) := X"FFFEFFFEFFFEFFFFFFFF00000000000100010002000200030003000300040004";
constant coe04_init_0B : bit_vector(255 downto 0) := X"FFF6FFF7FFF7FFF8FFF8FFF8FFF9FFF9FFFAFFFAFFFBFFFBFFFCFFFCFFFDFFFD";
constant coe04_init_0C : bit_vector(255 downto 0) := X"FFEFFFEFFFF0FFF0FFF1FFF1FFF2FFF2FFF2FFF3FFF3FFF4FFF4FFF5FFF5FFF6";
constant coe04_init_0D : bit_vector(255 downto 0) := X"FFE7FFE8FFE8FFE9FFE9FFEAFFEAFFEBFFEBFFECFFECFFECFFEDFFEDFFEEFFEE";
constant coe04_init_0E : bit_vector(255 downto 0) := X"FFE0FFE1FFE1FFE2FFE2FFE2FFE3FFE3FFE4FFE4FFE5FFE5FFE6FFE6FFE7FFE7";
constant coe04_init_0F : bit_vector(255 downto 0) := X"FFD9FFDAFFDAFFDAFFDBFFDBFFDCFFDCFFDDFFDDFFDDFFDEFFDEFFDFFFDFFFE0";
constant coe04_init_10 : bit_vector(255 downto 0) := X"FFD2FFD3FFD3FFD3FFD4FFD4FFD5FFD5FFD6FFD6FFD6FFD7FFD7FFD8FFD8FFD9";
constant coe04_init_11 : bit_vector(255 downto 0) := X"FFCBFFCCFFCCFFCDFFCDFFCEFFCEFFCEFFCFFFCFFFD0FFD0FFD0FFD1FFD1FFD2";
constant coe04_init_12 : bit_vector(255 downto 0) := X"FFC5FFC5FFC6FFC6FFC7FFC7FFC7FFC8FFC8FFC9FFC9FFC9FFCAFFCAFFCBFFCB";
constant coe04_init_13 : bit_vector(255 downto 0) := X"FFBFFFBFFFC0FFC0FFC1FFC1FFC1FFC2FFC2FFC2FFC3FFC3FFC4FFC4FFC4FFC5";
constant coe04_init_14 : bit_vector(255 downto 0) := X"FFB9FFBAFFBAFFBAFFBBFFBBFFBBFFBCFFBCFFBCFFBDFFBDFFBEFFBEFFBEFFBF";
constant coe04_init_15 : bit_vector(255 downto 0) := X"FFB4FFB4FFB5FFB5FFB5FFB6FFB6FFB6FFB7FFB7FFB7FFB8FFB8FFB8FFB9FFB9";
constant coe04_init_16 : bit_vector(255 downto 0) := X"FFAFFFB0FFB0FFB0FFB0FFB1FFB1FFB1FFB2FFB2FFB2FFB3FFB3FFB3FFB3FFB4";
constant coe04_init_17 : bit_vector(255 downto 0) := X"FFABFFABFFABFFACFFACFFACFFADFFADFFADFFADFFAEFFAEFFAEFFAEFFAFFFAF";
constant coe04_init_18 : bit_vector(255 downto 0) := X"FFA7FFA7FFA8FFA8FFA8FFA8FFA9FFA9FFA9FFA9FFA9FFAAFFAAFFAAFFAAFFAB";
constant coe04_init_19 : bit_vector(255 downto 0) := X"FFA4FFA4FFA4FFA5FFA5FFA5FFA5FFA5FFA6FFA6FFA6FFA6FFA6FFA7FFA7FFA7";
constant coe04_init_1A : bit_vector(255 downto 0) := X"FFA1FFA2FFA2FFA2FFA2FFA2FFA2FFA2FFA3FFA3FFA3FFA3FFA3FFA3FFA4FFA4";
constant coe04_init_1B : bit_vector(255 downto 0) := X"FF9FFFA0FFA0FFA0FFA0FFA0FFA0FFA0FFA0FFA0FFA1FFA1FFA1FFA1FFA1FFA1";
constant coe04_init_1C : bit_vector(255 downto 0) := X"FF9EFF9EFF9EFF9EFF9EFF9EFF9FFF9FFF9FFF9FFF9FFF9FFF9FFF9FFF9FFF9F";
constant coe04_init_1D : bit_vector(255 downto 0) := X"FF9DFF9DFF9DFF9DFF9EFF9EFF9EFF9EFF9EFF9EFF9EFF9EFF9EFF9EFF9EFF9E";
constant coe04_init_1E : bit_vector(255 downto 0) := X"FF9DFF9DFF9DFF9DFF9DFF9DFF9DFF9DFF9DFF9DFF9DFF9DFF9DFF9DFF9DFF9D";
constant coe04_init_1F : bit_vector(255 downto 0) := X"FF9EFF9EFF9EFF9EFF9EFF9EFF9EFF9EFF9EFF9EFF9EFF9EFF9EFF9DFF9DFF9D";
constant coe04_init_20 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_21 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_22 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_23 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_24 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_25 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_26 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_27 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_28 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_29 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_2A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_2B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_2C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_2D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_2E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_2F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_30 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_31 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_32 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_33 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_34 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_35 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_36 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_37 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_38 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_39 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_3A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_3B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_3C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_3D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_3E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe04_init_3F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_00 : bit_vector(255 downto 0) := X"FF9FFF9FFF9FFF9FFF9FFF9FFF9FFF9FFF9FFF9FFF9FFF9EFF9EFF9EFF9EFF9E";
constant coe05_init_01 : bit_vector(255 downto 0) := X"FFA2FFA1FFA1FFA1FFA1FFA1FFA1FFA1FFA0FFA0FFA0FFA0FFA0FFA0FFA0FFA0";
constant coe05_init_02 : bit_vector(255 downto 0) := X"FFA4FFA4FFA4FFA4FFA4FFA3FFA3FFA3FFA3FFA3FFA3FFA2FFA2FFA2FFA2FFA2";
constant coe05_init_03 : bit_vector(255 downto 0) := X"FFA8FFA7FFA7FFA7FFA7FFA7FFA6FFA6FFA6FFA6FFA6FFA5FFA5FFA5FFA5FFA4";
constant coe05_init_04 : bit_vector(255 downto 0) := X"FFACFFACFFABFFABFFABFFAAFFAAFFAAFFAAFFA9FFA9FFA9FFA9FFA8FFA8FFA8";
constant coe05_init_05 : bit_vector(255 downto 0) := X"FFB1FFB0FFB0FFB0FFAFFFAFFFAFFFAEFFAEFFAEFFAEFFADFFADFFADFFACFFAC";
constant coe05_init_06 : bit_vector(255 downto 0) := X"FFB6FFB6FFB5FFB5FFB5FFB4FFB4FFB3FFB3FFB3FFB2FFB2FFB2FFB2FFB1FFB1";
constant coe05_init_07 : bit_vector(255 downto 0) := X"FFBCFFBBFFBBFFBBFFBAFFBAFFBAFFB9FFB9FFB8FFB8FFB8FFB7FFB7FFB7FFB6";
constant coe05_init_08 : bit_vector(255 downto 0) := X"FFC2FFC2FFC2FFC1FFC1FFC0FFC0FFBFFFBFFFBFFFBEFFBEFFBDFFBDFFBDFFBC";
constant coe05_init_09 : bit_vector(255 downto 0) := X"FFC9FFC9FFC8FFC8FFC8FFC7FFC7FFC6FFC6FFC5FFC5FFC4FFC4FFC4FFC3FFC3";
constant coe05_init_0A : bit_vector(255 downto 0) := X"FFD1FFD0FFD0FFCFFFCFFFCEFFCEFFCEFFCDFFCDFFCCFFCCFFCBFFCBFFCAFFCA";
constant coe05_init_0B : bit_vector(255 downto 0) := X"FFD9FFD8FFD8FFD7FFD7FFD6FFD6FFD5FFD5FFD4FFD4FFD3FFD3FFD2FFD2FFD1";
constant coe05_init_0C : bit_vector(255 downto 0) := X"FFE1FFE1FFE0FFE0FFDFFFDEFFDEFFDDFFDDFFDCFFDCFFDBFFDBFFDAFFDAFFD9";
constant coe05_init_0D : bit_vector(255 downto 0) := X"FFEAFFE9FFE9FFE8FFE8FFE7FFE6FFE6FFE5FFE5FFE4FFE4FFE3FFE3FFE2FFE2";
constant coe05_init_0E : bit_vector(255 downto 0) := X"FFF3FFF2FFF2FFF1FFF0FFF0FFEFFFEFFFEEFFEEFFEDFFEDFFECFFEBFFEBFFEA";
constant coe05_init_0F : bit_vector(255 downto 0) := X"FFFCFFFBFFFBFFFAFFFAFFF9FFF8FFF8FFF7FFF7FFF6FFF6FFF5FFF4FFF4FFF3";
constant coe05_init_10 : bit_vector(255 downto 0) := X"0005000500040003000300020002000100000000FFFFFFFFFFFEFFFEFFFDFFFC";
constant coe05_init_11 : bit_vector(255 downto 0) := X"000F000E000D000D000C000C000B000A000A0009000900080008000700060006";
constant coe05_init_12 : bit_vector(255 downto 0) := X"001800170017001600160015001400140013001300120012001100100010000F";
constant coe05_init_13 : bit_vector(255 downto 0) := X"0021002100200020001F001F001E001D001D001C001C001B001A001A00190019";
constant coe05_init_14 : bit_vector(255 downto 0) := X"002B002A002A0029002800280027002700260026002500240024002300230022";
constant coe05_init_15 : bit_vector(255 downto 0) := X"00340033003300320032003100300030002F002F002E002E002D002C002C002B";
constant coe05_init_16 : bit_vector(255 downto 0) := X"003D003C003C003B003B003A0039003900380038003700370036003600350034";
constant coe05_init_17 : bit_vector(255 downto 0) := X"004500450044004400430043004200420041004100400040003F003E003E003D";
constant coe05_init_18 : bit_vector(255 downto 0) := X"004E004D004D004C004C004B004B004A004A0049004900480048004700460046";
constant coe05_init_19 : bit_vector(255 downto 0) := X"0056005500550054005400530053005200520051005100500050004F004F004E";
constant coe05_init_1A : bit_vector(255 downto 0) := X"005D005C005C005C005B005B005A005A00590059005800580057005700560056";
constant coe05_init_1B : bit_vector(255 downto 0) := X"0064006300630062006200620061006100600060005F005F005F005E005E005D";
constant coe05_init_1C : bit_vector(255 downto 0) := X"006A006A00690069006800680068006700670066006600660065006500640064";
constant coe05_init_1D : bit_vector(255 downto 0) := X"006F006F006F006E006E006E006D006D006D006C006C006C006B006B006B006A";
constant coe05_init_1E : bit_vector(255 downto 0) := X"0074007400740073007300730073007200720072007100710071007000700070";
constant coe05_init_1F : bit_vector(255 downto 0) := X"0078007800780078007700770077007700760076007600760075007500750075";
constant coe05_init_20 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_21 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_22 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_23 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_24 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_25 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_26 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_27 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_28 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_29 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_2A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_2B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_2C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_2D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_2E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_2F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_30 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_31 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_32 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_33 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_34 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_35 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_36 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_37 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_38 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_39 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_3A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_3B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_3C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_3D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_3E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe05_init_3F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_00 : bit_vector(255 downto 0) := X"007C007C007B007B007B007B007B007A007A007A007A00790079007900790079";
constant coe06_init_01 : bit_vector(255 downto 0) := X"007E007E007E007E007E007D007D007D007D007D007D007D007C007C007C007C";
constant coe06_init_02 : bit_vector(255 downto 0) := X"0080008000800080007F007F007F007F007F007F007F007F007F007F007E007E";
constant coe06_init_03 : bit_vector(255 downto 0) := X"0081008100810081008000800080008000800080008000800080008000800080";
constant coe06_init_04 : bit_vector(255 downto 0) := X"0080008000810081008100810081008100810081008100810081008100810081";
constant coe06_init_05 : bit_vector(255 downto 0) := X"007F007F00800080008000800080008000800080008000800080008000800080";
constant coe06_init_06 : bit_vector(255 downto 0) := X"007D007E007E007E007E007E007E007E007F007F007F007F007F007F007F007F";
constant coe06_init_07 : bit_vector(255 downto 0) := X"007B007B007B007B007B007C007C007C007C007C007C007D007D007D007D007D";
constant coe06_init_08 : bit_vector(255 downto 0) := X"007700770077007800780078007800790079007900790079007A007A007A007A";
constant coe06_init_09 : bit_vector(255 downto 0) := X"0072007200730073007300740074007400750075007500750076007600760077";
constant coe06_init_0A : bit_vector(255 downto 0) := X"006D006D006D006E006E006E006F006F006F0070007000700071007100710072";
constant coe06_init_0B : bit_vector(255 downto 0) := X"006600670067006700680068006900690069006A006A006B006B006B006C006C";
constant coe06_init_0C : bit_vector(255 downto 0) := X"005F005F00600060006100610062006200630063006400640064006500650066";
constant coe06_init_0D : bit_vector(255 downto 0) := X"00570057005800590059005A005A005B005B005C005C005D005D005E005E005E";
constant coe06_init_0E : bit_vector(255 downto 0) := X"004E004F004F0050005000510052005200530053005400540055005500560056";
constant coe06_init_0F : bit_vector(255 downto 0) := X"00450045004600470047004800480049004A004A004B004B004C004D004D004E";
constant coe06_init_10 : bit_vector(255 downto 0) := X"003B003B003C003D003D003E003F003F00400040004100420042004300440044";
constant coe06_init_11 : bit_vector(255 downto 0) := X"003000310031003200330034003400350036003600370037003800390039003A";
constant coe06_init_12 : bit_vector(255 downto 0) := X"0025002600260027002800290029002A002B002B002C002D002D002E002F002F";
constant coe06_init_13 : bit_vector(255 downto 0) := X"0019001A001B001C001C001D001E001F001F0020002100210022002300240024";
constant coe06_init_14 : bit_vector(255 downto 0) := X"000E000E000F0010001100110012001300140014001500160017001700180019";
constant coe06_init_15 : bit_vector(255 downto 0) := X"00010002000300040004000500060007000700080009000A000B000B000C000D";
constant coe06_init_16 : bit_vector(255 downto 0) := X"FFF5FFF6FFF7FFF7FFF8FFF9FFFAFFFAFFFBFFFCFFFDFFFEFFFEFFFF00000001";
constant coe06_init_17 : bit_vector(255 downto 0) := X"FFE8FFE9FFEAFFEBFFECFFECFFEDFFEEFFEFFFF0FFF0FFF1FFF2FFF3FFF3FFF4";
constant coe06_init_18 : bit_vector(255 downto 0) := X"FFDCFFDDFFDEFFDEFFDFFFE0FFE1FFE1FFE2FFE3FFE4FFE5FFE5FFE6FFE7FFE8";
constant coe06_init_19 : bit_vector(255 downto 0) := X"FFD0FFD0FFD1FFD2FFD3FFD3FFD4FFD5FFD6FFD7FFD7FFD8FFD9FFDAFFDAFFDB";
constant coe06_init_1A : bit_vector(255 downto 0) := X"FFC3FFC4FFC5FFC6FFC6FFC7FFC8FFC9FFC9FFCAFFCBFFCCFFCCFFCDFFCEFFCF";
constant coe06_init_1B : bit_vector(255 downto 0) := X"FFB7FFB8FFB9FFB9FFBAFFBBFFBCFFBCFFBDFFBEFFBFFFBFFFC0FFC1FFC2FFC2";
constant coe06_init_1C : bit_vector(255 downto 0) := X"FFABFFACFFADFFAEFFAEFFAFFFB0FFB1FFB1FFB2FFB3FFB3FFB4FFB5FFB6FFB6";
constant coe06_init_1D : bit_vector(255 downto 0) := X"FFA0FFA1FFA2FFA2FFA3FFA4FFA4FFA5FFA6FFA6FFA7FFA8FFA9FFA9FFAAFFAB";
constant coe06_init_1E : bit_vector(255 downto 0) := X"FF95FF96FF97FF97FF98FF99FF99FF9AFF9BFF9BFF9CFF9DFF9DFF9EFF9FFF9F";
constant coe06_init_1F : bit_vector(255 downto 0) := X"FF8BFF8CFF8CFF8DFF8EFF8EFF8FFF90FF90FF91FF91FF92FF93FF93FF94FF95";
constant coe06_init_20 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_21 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_22 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_23 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_24 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_25 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_26 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_27 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_28 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_29 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_2A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_2B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_2C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_2D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_2E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_2F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_30 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_31 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_32 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_33 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_34 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_35 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_36 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_37 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_38 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_39 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_3A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_3B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_3C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_3D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_3E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe06_init_3F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_00 : bit_vector(255 downto 0) := X"FF82FF82FF83FF83FF84FF85FF85FF86FF86FF87FF88FF88FF89FF89FF8AFF8B";
constant coe07_init_01 : bit_vector(255 downto 0) := X"FF79FF79FF7AFF7AFF7BFF7CFF7CFF7DFF7DFF7EFF7EFF7FFF7FFF80FF80FF81";
constant coe07_init_02 : bit_vector(255 downto 0) := X"FF71FF71FF72FF72FF73FF73FF74FF74FF75FF75FF76FF76FF77FF77FF78FF78";
constant coe07_init_03 : bit_vector(255 downto 0) := X"FF6AFF6AFF6BFF6BFF6CFF6CFF6CFF6DFF6DFF6EFF6EFF6FFF6FFF70FF70FF70";
constant coe07_init_04 : bit_vector(255 downto 0) := X"FF64FF64FF64FF65FF65FF66FF66FF66FF67FF67FF67FF68FF68FF69FF69FF69";
constant coe07_init_05 : bit_vector(255 downto 0) := X"FF5FFF5FFF5FFF60FF60FF60FF60FF61FF61FF61FF62FF62FF62FF63FF63FF63";
constant coe07_init_06 : bit_vector(255 downto 0) := X"FF5BFF5BFF5BFF5BFF5CFF5CFF5CFF5CFF5DFF5DFF5DFF5DFF5EFF5EFF5EFF5E";
constant coe07_init_07 : bit_vector(255 downto 0) := X"FF58FF58FF58FF58FF58FF59FF59FF59FF59FF59FF59FF5AFF5AFF5AFF5AFF5A";
constant coe07_init_08 : bit_vector(255 downto 0) := X"FF56FF56FF56FF56FF56FF56FF57FF57FF57FF57FF57FF57FF57FF57FF58FF58";
constant coe07_init_09 : bit_vector(255 downto 0) := X"FF55FF55FF55FF55FF56FF56FF56FF56FF56FF56FF56FF56FF56FF56FF56FF56";
constant coe07_init_0A : bit_vector(255 downto 0) := X"FF56FF56FF56FF56FF56FF56FF56FF56FF56FF56FF56FF56FF56FF56FF55FF55";
constant coe07_init_0B : bit_vector(255 downto 0) := X"FF58FF58FF58FF58FF57FF57FF57FF57FF57FF57FF57FF57FF56FF56FF56FF56";
constant coe07_init_0C : bit_vector(255 downto 0) := X"FF5BFF5BFF5BFF5AFF5AFF5AFF5AFF5AFF59FF59FF59FF59FF59FF58FF58FF58";
constant coe07_init_0D : bit_vector(255 downto 0) := X"FF5FFF5FFF5FFF5EFF5EFF5EFF5EFF5DFF5DFF5DFF5DFF5CFF5CFF5CFF5CFF5B";
constant coe07_init_0E : bit_vector(255 downto 0) := X"FF65FF65FF64FF64FF63FF63FF63FF62FF62FF62FF61FF61FF61FF60FF60FF60";
constant coe07_init_0F : bit_vector(255 downto 0) := X"FF6CFF6BFF6BFF6AFF6AFF69FF69FF69FF68FF68FF67FF67FF66FF66FF66FF65";
constant coe07_init_10 : bit_vector(255 downto 0) := X"FF74FF73FF72FF72FF71FF71FF70FF70FF6FFF6FFF6EFF6EFF6DFF6DFF6DFF6C";
constant coe07_init_11 : bit_vector(255 downto 0) := X"FF7DFF7CFF7BFF7BFF7AFF7AFF79FF78FF78FF77FF77FF76FF76FF75FF75FF74";
constant coe07_init_12 : bit_vector(255 downto 0) := X"FF87FF86FF85FF85FF84FF83FF83FF82FF81FF81FF80FF80FF7FFF7EFF7EFF7D";
constant coe07_init_13 : bit_vector(255 downto 0) := X"FF92FF91FF90FF90FF8FFF8EFF8DFF8DFF8CFF8BFF8BFF8AFF89FF89FF88FF87";
constant coe07_init_14 : bit_vector(255 downto 0) := X"FF9EFF9DFF9CFF9BFF9BFF9AFF99FF98FF98FF97FF96FF95FF95FF94FF93FF92";
constant coe07_init_15 : bit_vector(255 downto 0) := X"FFABFFAAFFA9FFA8FFA7FFA7FFA6FFA5FFA4FFA3FFA2FFA2FFA1FFA0FF9FFF9F";
constant coe07_init_16 : bit_vector(255 downto 0) := X"FFB8FFB8FFB7FFB6FFB5FFB4FFB3FFB2FFB1FFB1FFB0FFAFFFAEFFADFFACFFAC";
constant coe07_init_17 : bit_vector(255 downto 0) := X"FFC7FFC6FFC5FFC4FFC3FFC2FFC1FFC0FFC0FFBFFFBEFFBDFFBCFFBBFFBAFFB9";
constant coe07_init_18 : bit_vector(255 downto 0) := X"FFD6FFD5FFD4FFD3FFD2FFD1FFD0FFCFFFCEFFCDFFCCFFCCFFCBFFCAFFC9FFC8";
constant coe07_init_19 : bit_vector(255 downto 0) := X"FFE6FFE5FFE4FFE3FFE2FFE1FFE0FFDFFFDEFFDDFFDCFFDBFFDAFFD9FFD8FFD7";
constant coe07_init_1A : bit_vector(255 downto 0) := X"FFF6FFF5FFF4FFF3FFF2FFF1FFF0FFEFFFEEFFEDFFECFFEBFFEAFFE9FFE8FFE7";
constant coe07_init_1B : bit_vector(255 downto 0) := X"0006000500040003000200010000FFFFFFFEFFFDFFFCFFFBFFFAFFF9FFF8FFF7";
constant coe07_init_1C : bit_vector(255 downto 0) := X"0017001600150014001300110010000F000E000D000C000B000A000900080007";
constant coe07_init_1D : bit_vector(255 downto 0) := X"00270026002500240023002200210020001F001E001D001C001B001A00190018";
constant coe07_init_1E : bit_vector(255 downto 0) := X"003800370036003500340033003200310030002F002E002D002C002A00290028";
constant coe07_init_1F : bit_vector(255 downto 0) := X"004900480047004500440043004200410040003F003E003D003C003B003A0039";
constant coe07_init_20 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_21 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_22 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_23 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_24 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_25 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_26 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_27 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_28 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_29 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_2A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_2B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_2C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_2D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_2E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_2F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_30 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_31 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_32 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_33 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_34 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_35 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_36 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_37 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_38 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_39 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_3A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_3B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_3C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_3D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_3E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe07_init_3F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_00 : bit_vector(255 downto 0) := X"0059005800570056005500540053005200510050004F004E004D004C004B004A";
constant coe08_init_01 : bit_vector(255 downto 0) := X"0069006800670066006500640063006200610060005F005E005D005C005B005A";
constant coe08_init_02 : bit_vector(255 downto 0) := X"0078007700760075007400730072007100700070006F006E006D006C006B006A";
constant coe08_init_03 : bit_vector(255 downto 0) := X"008700860085008400830082008100800080007F007E007D007C007B007A0079";
constant coe08_init_04 : bit_vector(255 downto 0) := X"0095009400930092009100910090008F008E008D008C008B008A008A00890088";
constant coe08_init_05 : bit_vector(255 downto 0) := X"00A200A100A000A0009F009E009D009C009C009B009A00990098009700970096";
constant coe08_init_06 : bit_vector(255 downto 0) := X"00AE00AE00AD00AC00AB00AB00AA00A900A800A800A700A600A500A400A400A3";
constant coe08_init_07 : bit_vector(255 downto 0) := X"00B900B900B800B700B700B600B500B500B400B300B300B200B100B000B000AF";
constant coe08_init_08 : bit_vector(255 downto 0) := X"00C300C300C200C200C100C000C000BF00BF00BE00BD00BD00BC00BB00BB00BA";
constant coe08_init_09 : bit_vector(255 downto 0) := X"00CC00CC00CB00CB00CA00CA00C900C900C800C700C700C600C600C500C500C4";
constant coe08_init_0A : bit_vector(255 downto 0) := X"00D400D300D300D200D200D200D100D100D000D000CF00CF00CE00CE00CD00CD";
constant coe08_init_0B : bit_vector(255 downto 0) := X"00DA00D900D900D900D800D800D800D700D700D700D600D600D500D500D500D4";
constant coe08_init_0C : bit_vector(255 downto 0) := X"00DE00DE00DE00DE00DD00DD00DD00DD00DC00DC00DC00DB00DB00DB00DA00DA";
constant coe08_init_0D : bit_vector(255 downto 0) := X"00E100E100E100E100E100E100E000E000E000E000E000DF00DF00DF00DF00DF";
constant coe08_init_0E : bit_vector(255 downto 0) := X"00E300E300E300E300E300E300E300E200E200E200E200E200E200E200E200E2";
constant coe08_init_0F : bit_vector(255 downto 0) := X"00E300E300E300E300E300E300E300E300E300E300E300E300E300E300E300E3";
constant coe08_init_10 : bit_vector(255 downto 0) := X"00E100E100E100E200E200E200E200E200E200E200E200E200E300E300E300E3";
constant coe08_init_11 : bit_vector(255 downto 0) := X"00DE00DE00DE00DE00DF00DF00DF00DF00E000E000E000E000E000E100E100E1";
constant coe08_init_12 : bit_vector(255 downto 0) := X"00D900D900D900DA00DA00DA00DB00DB00DB00DC00DC00DC00DD00DD00DD00DD";
constant coe08_init_13 : bit_vector(255 downto 0) := X"00D200D300D300D300D400D400D500D500D600D600D600D700D700D800D800D8";
constant coe08_init_14 : bit_vector(255 downto 0) := X"00CA00CA00CB00CB00CC00CD00CD00CE00CE00CF00CF00D000D000D100D100D2";
constant coe08_init_15 : bit_vector(255 downto 0) := X"00C000C100C100C200C300C300C400C400C500C600C600C700C800C800C900C9";
constant coe08_init_16 : bit_vector(255 downto 0) := X"00B500B500B600B700B800B800B900BA00BB00BB00BC00BD00BD00BE00BF00BF";
constant coe08_init_17 : bit_vector(255 downto 0) := X"00A800A900AA00AA00AB00AC00AD00AE00AE00AF00B000B100B200B200B300B4";
constant coe08_init_18 : bit_vector(255 downto 0) := X"009A009B009B009C009D009E009F00A000A100A200A300A400A400A500A600A7";
constant coe08_init_19 : bit_vector(255 downto 0) := X"008A008B008C008D008E008F0090009100920093009400950096009700980099";
constant coe08_init_1A : bit_vector(255 downto 0) := X"0079007A007B007D007E007F0080008100820083008400850086008700880089";
constant coe08_init_1B : bit_vector(255 downto 0) := X"00670069006A006B006C006D006E006F00700072007300740075007600770078";
constant coe08_init_1C : bit_vector(255 downto 0) := X"00540056005700580059005A005C005D005E005F006000620063006400650066";
constant coe08_init_1D : bit_vector(255 downto 0) := X"00410042004300440046004700480049004B004C004D004E0050005100520053";
constant coe08_init_1E : bit_vector(255 downto 0) := X"002C002D002F00300031003200340035003600380039003A003C003D003E003F";
constant coe08_init_1F : bit_vector(255 downto 0) := X"001700180019001B001C001D001F00200021002300240025002700280029002B";
constant coe08_init_20 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_21 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_22 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_23 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_24 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_25 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_26 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_27 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_28 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_29 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_2A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_2B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_2C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_2D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_2E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_2F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_30 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_31 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_32 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_33 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_34 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_35 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_36 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_37 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_38 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_39 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_3A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_3B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_3C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_3D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_3E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe08_init_3F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_00 : bit_vector(255 downto 0) := X"0001000200040005000600080009000B000C000D000F00100011001300140015";
constant coe09_init_01 : bit_vector(255 downto 0) := X"FFEBFFECFFEEFFEFFFF0FFF2FFF3FFF5FFF6FFF7FFF9FFFAFFFBFFFDFFFE0000";
constant coe09_init_02 : bit_vector(255 downto 0) := X"FFD5FFD6FFD7FFD9FFDAFFDCFFDDFFDEFFE0FFE1FFE3FFE4FFE5FFE7FFE8FFEA";
constant coe09_init_03 : bit_vector(255 downto 0) := X"FFBEFFC0FFC1FFC2FFC4FFC5FFC7FFC8FFC9FFCBFFCCFFCEFFCFFFD0FFD2FFD3";
constant coe09_init_04 : bit_vector(255 downto 0) := X"FFA8FFA9FFABFFACFFAEFFAFFFB0FFB2FFB3FFB5FFB6FFB7FFB9FFBAFFBBFFBD";
constant coe09_init_05 : bit_vector(255 downto 0) := X"FF92FF94FF95FF96FF98FF99FF9AFF9CFF9DFF9EFFA0FFA1FFA3FFA4FFA5FFA7";
constant coe09_init_06 : bit_vector(255 downto 0) := X"FF7DFF7EFF7FFF81FF82FF83FF85FF86FF87FF89FF8AFF8BFF8DFF8EFF8FFF91";
constant coe09_init_07 : bit_vector(255 downto 0) := X"FF68FF69FF6AFF6CFF6DFF6EFF70FF71FF72FF73FF75FF76FF77FF79FF7AFF7B";
constant coe09_init_08 : bit_vector(255 downto 0) := X"FF54FF55FF56FF57FF59FF5AFF5BFF5CFF5EFF5FFF60FF61FF63FF64FF65FF67";
constant coe09_init_09 : bit_vector(255 downto 0) := X"FF40FF42FF43FF44FF45FF46FF48FF49FF4AFF4BFF4CFF4EFF4FFF50FF51FF52";
constant coe09_init_0A : bit_vector(255 downto 0) := X"FF2EFF2FFF31FF32FF33FF34FF35FF36FF37FF38FF3AFF3BFF3CFF3DFF3EFF3F";
constant coe09_init_0B : bit_vector(255 downto 0) := X"FF1DFF1EFF20FF21FF22FF23FF24FF25FF26FF27FF28FF29FF2AFF2BFF2CFF2D";
constant coe09_init_0C : bit_vector(255 downto 0) := X"FF0EFF0FFF10FF11FF12FF13FF14FF15FF16FF17FF17FF18FF19FF1AFF1BFF1C";
constant coe09_init_0D : bit_vector(255 downto 0) := X"FF00FF01FF02FF02FF03FF04FF05FF06FF07FF08FF08FF09FF0AFF0BFF0CFF0D";
constant coe09_init_0E : bit_vector(255 downto 0) := X"FEF3FEF4FEF5FEF6FEF6FEF7FEF8FEF9FEF9FEFAFEFBFEFCFEFDFEFDFEFEFEFF";
constant coe09_init_0F : bit_vector(255 downto 0) := X"FEE9FEE9FEEAFEEBFEEBFEECFEEDFEEDFEEEFEEFFEEFFEF0FEF1FEF1FEF2FEF3";
constant coe09_init_10 : bit_vector(255 downto 0) := X"FEE0FEE0FEE1FEE1FEE2FEE3FEE3FEE4FEE4FEE5FEE5FEE6FEE6FEE7FEE8FEE8";
constant coe09_init_11 : bit_vector(255 downto 0) := X"FED9FED9FEDAFEDAFEDBFEDBFEDBFEDCFEDCFEDDFEDDFEDEFEDEFEDEFEDFFEDF";
constant coe09_init_12 : bit_vector(255 downto 0) := X"FED4FED4FED5FED5FED5FED5FED6FED6FED6FED7FED7FED7FED8FED8FED8FED9";
constant coe09_init_13 : bit_vector(255 downto 0) := X"FED1FED1FED2FED2FED2FED2FED2FED2FED2FED3FED3FED3FED3FED3FED4FED4";
constant coe09_init_14 : bit_vector(255 downto 0) := X"FED1FED1FED1FED1FED1FED1FED1FED1FED1FED1FED1FED1FED1FED1FED1FED1";
constant coe09_init_15 : bit_vector(255 downto 0) := X"FED2FED2FED2FED2FED2FED1FED1FED1FED1FED1FED1FED1FED1FED1FED1FED1";
constant coe09_init_16 : bit_vector(255 downto 0) := X"FED6FED5FED5FED5FED5FED4FED4FED4FED4FED3FED3FED3FED3FED3FED2FED2";
constant coe09_init_17 : bit_vector(255 downto 0) := X"FEDCFEDBFEDBFEDAFEDAFEDAFED9FED9FED8FED8FED8FED7FED7FED7FED6FED6";
constant coe09_init_18 : bit_vector(255 downto 0) := X"FEE4FEE3FEE3FEE2FEE1FEE1FEE0FEE0FEDFFEDFFEDEFEDEFEDDFEDDFEDDFEDC";
constant coe09_init_19 : bit_vector(255 downto 0) := X"FEEEFEEDFEEDFEECFEEBFEEBFEEAFEE9FEE9FEE8FEE7FEE7FEE6FEE5FEE5FEE4";
constant coe09_init_1A : bit_vector(255 downto 0) := X"FEFAFEFAFEF9FEF8FEF7FEF6FEF5FEF5FEF4FEF3FEF2FEF2FEF1FEF0FEEFFEEF";
constant coe09_init_1B : bit_vector(255 downto 0) := X"FF09FF08FF07FF06FF05FF04FF03FF02FF01FF00FF00FEFFFEFEFEFDFEFCFEFB";
constant coe09_init_1C : bit_vector(255 downto 0) := X"FF19FF18FF17FF16FF15FF14FF13FF12FF11FF10FF0FFF0EFF0DFF0CFF0BFF0A";
constant coe09_init_1D : bit_vector(255 downto 0) := X"FF2CFF2BFF29FF28FF27FF26FF25FF23FF22FF21FF20FF1FFF1EFF1DFF1CFF1A";
constant coe09_init_1E : bit_vector(255 downto 0) := X"FF40FF3FFF3DFF3CFF3BFF3AFF38FF37FF36FF34FF33FF32FF31FF2FFF2EFF2D";
constant coe09_init_1F : bit_vector(255 downto 0) := X"FF56FF55FF53FF52FF50FF4FFF4EFF4CFF4BFF49FF48FF47FF45FF44FF43FF41";
constant coe09_init_20 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_21 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_22 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_23 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_24 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_25 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_26 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_27 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_28 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_29 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_2A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_2B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_2C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_2D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_2E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_2F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_30 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_31 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_32 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_33 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_34 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_35 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_36 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_37 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_38 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_39 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_3A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_3B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_3C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_3D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_3E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe09_init_3F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_00 : bit_vector(255 downto 0) := X"FF6EFF6CFF6AFF69FF67FF66FF65FF63FF62FF60FF5FFF5DFF5CFF5AFF59FF57";
constant coe10_init_01 : bit_vector(255 downto 0) := X"FF86FF85FF83FF82FF80FF7FFF7DFF7BFF7AFF78FF77FF75FF74FF72FF71FF6F";
constant coe10_init_02 : bit_vector(255 downto 0) := X"FFA1FF9FFF9DFF9CFF9AFF98FF97FF95FF93FF92FF90FF8FFF8DFF8BFF8AFF88";
constant coe10_init_03 : bit_vector(255 downto 0) := X"FFBCFFBAFFB9FFB7FFB5FFB3FFB2FFB0FFAEFFADFFABFFA9FFA7FFA6FFA4FFA2";
constant coe10_init_04 : bit_vector(255 downto 0) := X"FFD8FFD7FFD5FFD3FFD1FFD0FFCEFFCCFFCAFFC8FFC7FFC5FFC3FFC1FFC0FFBE";
constant coe10_init_05 : bit_vector(255 downto 0) := X"FFF5FFF4FFF2FFF0FFEEFFECFFEBFFE9FFE7FFE5FFE3FFE1FFE0FFDEFFDCFFDA";
constant coe10_init_06 : bit_vector(255 downto 0) := X"00130011000F000E000C000A00080006000400020001FFFFFFFDFFFBFFF9FFF7";
constant coe10_init_07 : bit_vector(255 downto 0) := X"0031002F002D002B002A00280026002400220020001E001C001B001900170015";
constant coe10_init_08 : bit_vector(255 downto 0) := X"004F004D004B004A00480046004400420040003E003C003A0039003700350033";
constant coe10_init_09 : bit_vector(255 downto 0) := X"006D006B006900680066006400620060005E005C005A00590057005500530051";
constant coe10_init_0A : bit_vector(255 downto 0) := X"008B008900870085008300820080007E007C007A00780076007500730071006F";
constant coe10_init_0B : bit_vector(255 downto 0) := X"00A800A600A400A300A1009F009D009B009A00980096009400920090008F008D";
constant coe10_init_0C : bit_vector(255 downto 0) := X"00C500C300C100BF00BE00BC00BA00B800B600B500B300B100AF00AD00AC00AA";
constant coe10_init_0D : bit_vector(255 downto 0) := X"00E000DE00DD00DB00D900D800D600D400D200D100CF00CD00CC00CA00C800C6";
constant coe10_init_0E : bit_vector(255 downto 0) := X"00FA00F900F700F600F400F200F100EF00ED00EC00EA00E800E700E500E300E2";
constant coe10_init_0F : bit_vector(255 downto 0) := X"011301120110010F010D010C010A01090107010601040102010100FF00FE00FC";
constant coe10_init_10 : bit_vector(255 downto 0) := X"012B0129012801270125012401220121011F011E011C011B0119011801160115";
constant coe10_init_11 : bit_vector(255 downto 0) := X"0141013F013E013D013B013A0139013701360135013301320130012F012E012C";
constant coe10_init_12 : bit_vector(255 downto 0) := X"01540153015201510150014E014D014C014B0149014801470146014401430142";
constant coe10_init_13 : bit_vector(255 downto 0) := X"0166016501640163016201610160015E015D015C015B015A0159015801570155";
constant coe10_init_14 : bit_vector(255 downto 0) := X"0175017401730172017201710170016F016E016D016C016B016A016901680167";
constant coe10_init_15 : bit_vector(255 downto 0) := X"0182018101810180017F017E017D017D017C017B017A01790179017801770176";
constant coe10_init_16 : bit_vector(255 downto 0) := X"018C018C018B018B018A01890189018801870187018601850185018401830183";
constant coe10_init_17 : bit_vector(255 downto 0) := X"0194019301930193019201920191019101900190018F018F018E018E018D018D";
constant coe10_init_18 : bit_vector(255 downto 0) := X"0199019801980198019801970197019701970196019601960195019501950194";
constant coe10_init_19 : bit_vector(255 downto 0) := X"019B019B019B019A019A019A019A019A019A019A019A019A0199019901990199";
constant coe10_init_1A : bit_vector(255 downto 0) := X"019A019A019A019A019A019A019A019A019A019B019B019B019B019B019B019B";
constant coe10_init_1B : bit_vector(255 downto 0) := X"0196019601960197019701970197019801980198019801990199019901990199";
constant coe10_init_1C : bit_vector(255 downto 0) := X"018F018F01900190019101910192019201920193019301940194019501950195";
constant coe10_init_1D : bit_vector(255 downto 0) := X"01850185018601870187018801890189018A018B018B018C018C018D018E018E";
constant coe10_init_1E : bit_vector(255 downto 0) := X"017801790179017A017B017C017D017E017E017F018001810182018201830184";
constant coe10_init_1F : bit_vector(255 downto 0) := X"01680169016A016B016C016D016E016F01700171017201730174017501760177";
constant coe10_init_20 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_21 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_22 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_23 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_24 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_25 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_26 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_27 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_28 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_29 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_2A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_2B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_2C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_2D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_2E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_2F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_30 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_31 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_32 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_33 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_34 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_35 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_36 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_37 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_38 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_39 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_3A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_3B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_3C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_3D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_3E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe10_init_3F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_00 : bit_vector(255 downto 0) := X"0155015601570159015A015B015C015D015F0160016101620163016401660167";
constant coe11_init_01 : bit_vector(255 downto 0) := X"013F0141014201440145014601480149014A014C014D014E0150015101520154";
constant coe11_init_02 : bit_vector(255 downto 0) := X"01270129012A012C012D012F0130013201340135013701380139013B013C013E";
constant coe11_init_03 : bit_vector(255 downto 0) := X"010C010E011001110113011501170118011A011C011D011F0121012201240125";
constant coe11_init_04 : bit_vector(255 downto 0) := X"00EF00F100F300F500F600F800FA00FC00FE010001020103010501070109010A";
constant coe11_init_05 : bit_vector(255 downto 0) := X"00CF00D100D400D600D800DA00DC00DD00DF00E100E300E500E700E900EB00ED";
constant coe11_init_06 : bit_vector(255 downto 0) := X"00AE00B000B200B400B600B900BB00BD00BF00C100C300C500C700C900CB00CD";
constant coe11_init_07 : bit_vector(255 downto 0) := X"008A008D008F0091009400960098009A009C009F00A100A300A500A700AA00AC";
constant coe11_init_08 : bit_vector(255 downto 0) := X"00650068006A006D006F0071007400760078007A007D007F0081008400860088";
constant coe11_init_09 : bit_vector(255 downto 0) := X"003F0041004400460049004B004E0050005200550057005A005C005E00610063";
constant coe11_init_0A : bit_vector(255 downto 0) := X"0017001A001C001F0021002400260029002B002E0030003300350038003A003D";
constant coe11_init_0B : bit_vector(255 downto 0) := X"FFEFFFF2FFF4FFF7FFF9FFFCFFFE0001000300060008000B000D001000120015";
constant coe11_init_0C : bit_vector(255 downto 0) := X"FFC6FFC9FFCBFFCEFFD0FFD3FFD5FFD8FFDBFFDDFFE0FFE2FFE5FFE7FFEAFFEC";
constant coe11_init_0D : bit_vector(255 downto 0) := X"FF9DFF9FFFA2FFA4FFA7FFAAFFACFFAFFFB1FFB4FFB6FFB9FFBCFFBEFFC1FFC3";
constant coe11_init_0E : bit_vector(255 downto 0) := X"FF73FF76FF78FF7BFF7DFF80FF83FF85FF88FF8AFF8DFF90FF92FF95FF97FF9A";
constant coe11_init_0F : bit_vector(255 downto 0) := X"FF4AFF4DFF4FFF52FF54FF57FF59FF5CFF5FFF61FF64FF66FF69FF6BFF6EFF71";
constant coe11_init_10 : bit_vector(255 downto 0) := X"FF21FF24FF26FF29FF2CFF2EFF31FF33FF36FF38FF3BFF3DFF40FF42FF45FF47";
constant coe11_init_11 : bit_vector(255 downto 0) := X"FEFAFEFCFEFFFF01FF04FF06FF08FF0BFF0DFF10FF12FF15FF17FF1AFF1CFF1F";
constant coe11_init_12 : bit_vector(255 downto 0) := X"FED3FED6FED8FEDAFEDDFEDFFEE1FEE4FEE6FEE9FEEBFEEEFEF0FEF2FEF5FEF7";
constant coe11_init_13 : bit_vector(255 downto 0) := X"FEAEFEB0FEB3FEB5FEB7FEBAFEBCFEBEFEC0FEC3FEC5FEC7FECAFECCFECEFED1";
constant coe11_init_14 : bit_vector(255 downto 0) := X"FE8BFE8DFE8FFE91FE93FE96FE98FE9AFE9CFE9EFEA1FEA3FEA5FEA7FEAAFEAC";
constant coe11_init_15 : bit_vector(255 downto 0) := X"FE6AFE6CFE6EFE70FE72FE74FE76FE78FE7AFE7CFE7EFE80FE82FE84FE87FE89";
constant coe11_init_16 : bit_vector(255 downto 0) := X"FE4BFE4CFE4EFE50FE52FE54FE56FE58FE5AFE5CFE5EFE60FE62FE64FE66FE68";
constant coe11_init_17 : bit_vector(255 downto 0) := X"FE2EFE30FE32FE33FE35FE37FE39FE3AFE3CFE3EFE40FE41FE43FE45FE47FE49";
constant coe11_init_18 : bit_vector(255 downto 0) := X"FE15FE16FE18FE19FE1BFE1CFE1EFE20FE21FE23FE24FE26FE28FE29FE2BFE2D";
constant coe11_init_19 : bit_vector(255 downto 0) := X"FDFEFE00FE01FE02FE04FE05FE06FE08FE09FE0BFE0CFE0DFE0FFE10FE12FE13";
constant coe11_init_1A : bit_vector(255 downto 0) := X"FDEBFDECFDEDFDEFFDF0FDF1FDF2FDF3FDF4FDF6FDF7FDF8FDF9FDFBFDFCFDFD";
constant coe11_init_1B : bit_vector(255 downto 0) := X"FDDCFDDDFDDDFDDEFDDFFDE0FDE1FDE2FDE3FDE4FDE5FDE6FDE7FDE8FDE9FDEA";
constant coe11_init_1C : bit_vector(255 downto 0) := X"FDD0FDD0FDD1FDD2FDD2FDD3FDD4FDD5FDD5FDD6FDD7FDD8FDD8FDD9FDDAFDDB";
constant coe11_init_1D : bit_vector(255 downto 0) := X"FDC8FDC8FDC8FDC9FDC9FDCAFDCAFDCBFDCBFDCCFDCCFDCDFDCDFDCEFDCFFDCF";
constant coe11_init_1E : bit_vector(255 downto 0) := X"FDC4FDC4FDC4FDC4FDC4FDC4FDC5FDC5FDC5FDC5FDC6FDC6FDC6FDC7FDC7FDC7";
constant coe11_init_1F : bit_vector(255 downto 0) := X"FDC3FDC3FDC3FDC3FDC3FDC3FDC3FDC3FDC3FDC3FDC3FDC3FDC3FDC3FDC3FDC3";
constant coe11_init_20 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_21 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_22 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_23 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_24 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_25 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_26 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_27 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_28 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_29 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_2A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_2B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_2C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_2D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_2E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_2F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_30 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_31 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_32 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_33 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_34 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_35 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_36 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_37 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_38 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_39 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_3A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_3B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_3C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_3D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_3E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe11_init_3F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_00 : bit_vector(255 downto 0) := X"FDC8FDC7FDC7FDC6FDC6FDC6FDC6FDC5FDC5FDC5FDC5FDC4FDC4FDC4FDC4FDC4";
constant coe12_init_01 : bit_vector(255 downto 0) := X"FDD0FDCFFDCFFDCEFDCDFDCDFDCCFDCCFDCBFDCBFDCAFDCAFDC9FDC9FDC8FDC8";
constant coe12_init_02 : bit_vector(255 downto 0) := X"FDDCFDDCFDDBFDDAFDD9FDD8FDD7FDD6FDD6FDD5FDD4FDD3FDD3FDD2FDD1FDD1";
constant coe12_init_03 : bit_vector(255 downto 0) := X"FDEDFDECFDEBFDEAFDE9FDE8FDE6FDE5FDE4FDE3FDE2FDE1FDE0FDDFFDDEFDDD";
constant coe12_init_04 : bit_vector(255 downto 0) := X"FE02FE01FDFFFDFEFDFDFDFBFDFAFDF8FDF7FDF6FDF5FDF3FDF2FDF1FDF0FDEE";
constant coe12_init_05 : bit_vector(255 downto 0) := X"FE1BFE1AFE18FE16FE15FE13FE11FE10FE0EFE0DFE0BFE0AFE08FE07FE05FE04";
constant coe12_init_06 : bit_vector(255 downto 0) := X"FE38FE36FE35FE33FE31FE2FFE2DFE2BFE29FE28FE26FE24FE22FE20FE1FFE1D";
constant coe12_init_07 : bit_vector(255 downto 0) := X"FE59FE57FE55FE53FE51FE4FFE4DFE4AFE48FE46FE44FE42FE40FE3EFE3CFE3A";
constant coe12_init_08 : bit_vector(255 downto 0) := X"FE7EFE7CFE79FE77FE75FE72FE70FE6EFE6BFE69FE67FE64FE62FE60FE5EFE5C";
constant coe12_init_09 : bit_vector(255 downto 0) := X"FEA6FEA4FEA1FE9FFE9CFE99FE97FE94FE92FE8FFE8DFE8AFE88FE85FE83FE81";
constant coe12_init_0A : bit_vector(255 downto 0) := X"FED2FECFFECCFECAFEC7FEC4FEC1FEBFFEBCFEB9FEB6FEB4FEB1FEAEFEACFEA9";
constant coe12_init_0B : bit_vector(255 downto 0) := X"FF01FEFEFEFBFEF8FEF5FEF2FEEFFEECFEE9FEE6FEE3FEE0FEDEFEDBFED8FED5";
constant coe12_init_0C : bit_vector(255 downto 0) := X"FF32FF2FFF2CFF29FF26FF23FF20FF1CFF19FF16FF13FF10FF0DFF0AFF07FF04";
constant coe12_init_0D : bit_vector(255 downto 0) := X"FF67FF63FF60FF5DFF59FF56FF53FF4FFF4CFF49FF46FF42FF3FFF3CFF39FF36";
constant coe12_init_0E : bit_vector(255 downto 0) := X"FF9DFF99FF96FF93FF8FFF8CFF88FF85FF81FF7EFF7BFF77FF74FF71FF6DFF6A";
constant coe12_init_0F : bit_vector(255 downto 0) := X"FFD5FFD2FFCEFFCAFFC7FFC3FFC0FFBCFFB9FFB5FFB2FFAEFFABFFA7FFA4FFA0";
constant coe12_init_10 : bit_vector(255 downto 0) := X"000F000B000800040000FFFDFFF9FFF5FFF2FFEEFFEBFFE7FFE3FFE0FFDCFFD9";
constant coe12_init_11 : bit_vector(255 downto 0) := X"004A00460042003F003B003700330030002C002800250021001D001A00160012";
constant coe12_init_12 : bit_vector(255 downto 0) := X"00850081007E007A00760073006F006B006700640060005C005800550051004D";
constant coe12_init_13 : bit_vector(255 downto 0) := X"00C100BD00BA00B600B200AE00AB00A700A3009F009C009800940090008D0089";
constant coe12_init_14 : bit_vector(255 downto 0) := X"00FD00F900F500F200EE00EA00E700E300DF00DB00D800D400D000CC00C900C5";
constant coe12_init_15 : bit_vector(255 downto 0) := X"013801350131012D012901260122011E011B011701130110010C010801040101";
constant coe12_init_16 : bit_vector(255 downto 0) := X"0173016F016B016801640160015D015901560152014E014B014701430140013C";
constant coe12_init_17 : bit_vector(255 downto 0) := X"01AB01A801A401A1019D019A01960193018F018C018801850181017D017A0176";
constant coe12_init_18 : bit_vector(255 downto 0) := X"01E301DF01DC01D801D501D201CE01CB01C701C401C001BD01B901B601B201AF";
constant coe12_init_19 : bit_vector(255 downto 0) := X"021702140211020E020A02070204020101FD01FA01F701F301F001ED01E901E6";
constant coe12_init_1A : bit_vector(255 downto 0) := X"024A024702430240023D023A023702340231022E022B022702240221021E021B";
constant coe12_init_1B : bit_vector(255 downto 0) := X"0279027602730270026D026A026702640261025F025C0259025602530250024D";
constant coe12_init_1C : bit_vector(255 downto 0) := X"02A402A1029F029C029A029702940292028F028C0289028702840281027E027B";
constant coe12_init_1D : bit_vector(255 downto 0) := X"02CC02C902C702C502C202C002BD02BB02B802B602B302B102AE02AC02A902A7";
constant coe12_init_1E : bit_vector(255 downto 0) := X"02EF02ED02EB02E902E702E402E202E002DE02DC02D902D702D502D302D002CE";
constant coe12_init_1F : bit_vector(255 downto 0) := X"030E030C030A0308030603050303030102FF02FD02FB02F902F702F502F302F1";
constant coe12_init_20 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_21 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_22 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_23 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_24 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_25 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_26 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_27 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_28 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_29 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_2A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_2B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_2C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_2D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_2E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_2F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_30 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_31 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_32 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_33 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_34 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_35 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_36 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_37 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_38 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_39 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_3A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_3B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_3C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_3D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_3E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe12_init_3F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_00 : bit_vector(255 downto 0) := X"032703260324032303210320031E031D031B031A03180316031503130311030F";
constant coe13_init_01 : bit_vector(255 downto 0) := X"033C033B033A03380337033603350334033203310330032E032D032C032A0329";
constant coe13_init_02 : bit_vector(255 downto 0) := X"034B034A03490348034803470346034503440343034203410340033F033E033D";
constant coe13_init_03 : bit_vector(255 downto 0) := X"035403530353035303520352035103510350034F034F034E034E034D034C034C";
constant coe13_init_04 : bit_vector(255 downto 0) := X"0357035703570357035703570357035603560356035603560355035503550354";
constant coe13_init_05 : bit_vector(255 downto 0) := X"0354035403550355035503560356035603560356035703570357035703570357";
constant coe13_init_06 : bit_vector(255 downto 0) := X"034B034B034C034D034E034E034F035003500351035103520352035303530354";
constant coe13_init_07 : bit_vector(255 downto 0) := X"033B033C033D033E03400341034203430344034503460346034703480349034A";
constant coe13_init_08 : bit_vector(255 downto 0) := X"0325032603280329032B032C032E032F0331033203330335033603370339033A";
constant coe13_init_09 : bit_vector(255 downto 0) := X"0308030A030C030E031003120314031603170319031B031D031E032003220323";
constant coe13_init_0A : bit_vector(255 downto 0) := X"02E502E802EA02EC02EF02F102F302F502F802FA02FC02FE0300030203040306";
constant coe13_init_0B : bit_vector(255 downto 0) := X"02BC02BF02C102C402C702CA02CC02CF02D102D402D702D902DC02DE02E002E3";
constant coe13_init_0C : bit_vector(255 downto 0) := X"028C0290029302960299029C029F02A202A502A802AB02AE02B102B402B602B9";
constant coe13_init_0D : bit_vector(255 downto 0) := X"0257025A025E026102650268026C026F027202760279027C0280028302860289";
constant coe13_init_0E : bit_vector(255 downto 0) := X"021B021F02230227022B022F02320236023A023E024102450249024C02500253";
constant coe13_init_0F : bit_vector(255 downto 0) := X"01DA01DF01E301E701EB01EF01F301F801FC020002040208020C021002140218";
constant coe13_init_10 : bit_vector(255 downto 0) := X"01940199019D01A201A601AB01AF01B401B801BC01C101C501C901CE01D201D6";
constant coe13_init_11 : bit_vector(255 downto 0) := X"0149014E01530157015C01610166016B016F01740179017D01820186018B0190";
constant coe13_init_12 : bit_vector(255 downto 0) := X"00F900FE01040109010E01130118011D01220127012C01310136013A013F0144";
constant coe13_init_13 : bit_vector(255 downto 0) := X"00A600AB00B000B600BB00C000C500CB00D000D500DA00E000E500EA00EF00F4";
constant coe13_init_14 : bit_vector(255 downto 0) := X"004E00540059005F0064006A006F0075007A00800085008B00900095009B00A0";
constant coe13_init_15 : bit_vector(255 downto 0) := X"FFF4FFF9FFFF0005000A00100016001C00210027002C00320038003D00430049";
constant coe13_init_16 : bit_vector(255 downto 0) := X"FF96FF9CFFA2FFA8FFAEFFB4FFBAFFBFFFC5FFCBFFD1FFD7FFDCFFE2FFE8FFEE";
constant coe13_init_17 : bit_vector(255 downto 0) := X"FF37FF3DFF43FF49FF4FFF55FF5BFF61FF67FF6DFF73FF79FF7FFF85FF8BFF91";
constant coe13_init_18 : bit_vector(255 downto 0) := X"FED7FEDDFEE3FEE9FEEFFEF5FEFBFF01FF07FF0DFF13FF19FF1FFF25FF2BFF31";
constant coe13_init_19 : bit_vector(255 downto 0) := X"FE75FE7BFE81FE88FE8EFE94FE9AFEA0FEA6FEACFEB2FEB8FEBEFEC4FECBFED1";
constant coe13_init_1A : bit_vector(255 downto 0) := X"FE14FE1AFE20FE26FE2CFE32FE38FE3EFE45FE4BFE51FE57FE5DFE63FE69FE6F";
constant coe13_init_1B : bit_vector(255 downto 0) := X"FDB3FDB9FDBFFDC5FDCBFDD1FDD7FDDDFDE3FDE9FDEFFDF5FDFBFE02FE08FE0E";
constant coe13_init_1C : bit_vector(255 downto 0) := X"FD53FD59FD5FFD65FD6BFD71FD77FD7DFD83FD89FD8FFD95FD9BFDA1FDA7FDAD";
constant coe13_init_1D : bit_vector(255 downto 0) := X"FCF5FCFBFD01FD07FD0DFD12FD18FD1EFD24FD2AFD30FD36FD3BFD41FD47FD4D";
constant coe13_init_1E : bit_vector(255 downto 0) := X"FC9AFCA0FCA5FCABFCB1FCB6FCBCFCC2FCC7FCCDFCD3FCD9FCDEFCE4FCEAFCF0";
constant coe13_init_1F : bit_vector(255 downto 0) := X"FC42FC48FC4DFC53FC58FC5DFC63FC68FC6EFC73FC79FC7EFC84FC89FC8FFC95";
constant coe13_init_20 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_21 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_22 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_23 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_24 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_25 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_26 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_27 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_28 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_29 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_2A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_2B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_2C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_2D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_2E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_2F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_30 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_31 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_32 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_33 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_34 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_35 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_36 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_37 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_38 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_39 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_3A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_3B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_3C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_3D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_3E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe13_init_3F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_00 : bit_vector(255 downto 0) := X"FBEFFBF4FBF9FBFEFC03FC08FC0DFC13FC18FC1DFC22FC28FC2DFC32FC38FC3D";
constant coe14_init_01 : bit_vector(255 downto 0) := X"FBA0FBA4FBA9FBAEFBB3FBB8FBBDFBC2FBC6FBCBFBD0FBD5FBDAFBDFFBE4FBEA";
constant coe14_init_02 : bit_vector(255 downto 0) := X"FB56FB5BFB5FFB63FB68FB6CFB71FB76FB7AFB7FFB83FB88FB8DFB91FB96FB9B";
constant coe14_init_03 : bit_vector(255 downto 0) := X"FB13FB17FB1BFB1FFB23FB27FB2BFB2FFB34FB38FB3CFB40FB45FB49FB4DFB52";
constant coe14_init_04 : bit_vector(255 downto 0) := X"FAD6FADAFADEFAE1FAE5FAE9FAECFAF0FAF4FAF8FAFBFAFFFB03FB07FB0BFB0F";
constant coe14_init_05 : bit_vector(255 downto 0) := X"FAA1FAA5FAA8FAABFAAEFAB1FAB4FAB8FABBFABEFAC2FAC5FAC8FACCFACFFAD3";
constant coe14_init_06 : bit_vector(255 downto 0) := X"FA75FA77FA7AFA7CFA7FFA82FA85FA87FA8AFA8DFA90FA93FA96FA98FA9BFA9E";
constant coe14_init_07 : bit_vector(255 downto 0) := X"FA51FA53FA55FA57FA59FA5BFA5DFA5FFA62FA64FA66FA69FA6BFA6DFA70FA72";
constant coe14_init_08 : bit_vector(255 downto 0) := X"FA36FA37FA39FA3AFA3CFA3DFA3FFA41FA42FA44FA46FA47FA49FA4BFA4DFA4F";
constant coe14_init_09 : bit_vector(255 downto 0) := X"FA25FA26FA27FA28FA28FA29FA2AFA2BFA2CFA2DFA2FFA30FA31FA32FA33FA35";
constant coe14_init_0A : bit_vector(255 downto 0) := X"FA1FFA1FFA1FFA1FFA1FFA20FA20FA20FA21FA21FA22FA22FA23FA23FA24FA24";
constant coe14_init_0B : bit_vector(255 downto 0) := X"FA23FA23FA22FA21FA21FA21FA20FA20FA20FA1FFA1FFA1FFA1FFA1FFA1FFA1F";
constant coe14_init_0C : bit_vector(255 downto 0) := X"FA33FA31FA30FA2FFA2EFA2DFA2CFA2AFA29FA29FA28FA27FA26FA25FA24FA24";
constant coe14_init_0D : bit_vector(255 downto 0) := X"FA4EFA4CFA4AFA48FA46FA44FA42FA41FA3FFA3DFA3CFA3AFA38FA37FA35FA34";
constant coe14_init_0E : bit_vector(255 downto 0) := X"FA75FA72FA6FFA6DFA6AFA67FA65FA62FA60FA5DFA5BFA59FA57FA54FA52FA50";
constant coe14_init_0F : bit_vector(255 downto 0) := X"FAA8FAA5FAA1FA9EFA9AFA97FA93FA90FA8DFA8AFA87FA84FA81FA7EFA7BFA78";
constant coe14_init_10 : bit_vector(255 downto 0) := X"FAE8FAE3FADFFADBFAD7FAD2FACEFACAFAC6FAC2FABFFABBFAB7FAB3FAAFFAAC";
constant coe14_init_11 : bit_vector(255 downto 0) := X"FB34FB2FFB2AFB24FB20FB1BFB16FB11FB0CFB07FB03FAFEFAFAFAF5FAF1FAEC";
constant coe14_init_12 : bit_vector(255 downto 0) := X"FB8CFB86FB81FB7BFB75FB6FFB6AFB64FB5EFB59FB53FB4EFB49FB43FB3EFB39";
constant coe14_init_13 : bit_vector(255 downto 0) := X"FBF1FBEBFBE4FBDDFBD7FBD0FBCAFBC4FBBDFBB7FBB1FBABFBA4FB9EFB98FB92";
constant coe14_init_14 : bit_vector(255 downto 0) := X"FC63FC5CFC54FC4DFC45FC3EFC37FC30FC29FC22FC1BFC14FC0DFC06FBFFFBF8";
constant coe14_init_15 : bit_vector(255 downto 0) := X"FCE1FCD9FCD1FCC9FCC0FCB8FCB0FCA8FCA1FC99FC91FC89FC81FC7AFC72FC6B";
constant coe14_init_16 : bit_vector(255 downto 0) := X"FD6BFD62FD59FD50FD48FD3FFD36FD2DFD25FD1CFD13FD0BFD02FCFAFCF2FCE9";
constant coe14_init_17 : bit_vector(255 downto 0) := X"FE02FDF8FDEEFDE4FDDBFDD1FDC8FDBEFDB5FDACFDA2FD99FD90FD87FD7DFD74";
constant coe14_init_18 : bit_vector(255 downto 0) := X"FEA3FE99FE8FFE84FE7AFE70FE65FE5BFE51FE47FE3DFE33FE29FE1FFE15FE0B";
constant coe14_init_19 : bit_vector(255 downto 0) := X"FF51FF45FF3AFF2FFF24FF19FF0EFF03FEF9FEEEFEE3FED8FECEFEC3FEB8FEAE";
constant coe14_init_1A : bit_vector(255 downto 0) := X"0009FFFDFFF1FFE5FFDAFFCEFFC2FFB7FFABFFA0FF94FF89FF7EFF72FF67FF5C";
constant coe14_init_1B : bit_vector(255 downto 0) := X"00CB00BE00B200A60099008D008100750068005C005000440038002C00200014";
constant coe14_init_1C : bit_vector(255 downto 0) := X"0197018A017D0170016301560149013D013001230116010A00FD00F000E400D7";
constant coe14_init_1D : bit_vector(255 downto 0) := X"026C025F0251024402360229021B020E020101F301E601D901CC01BE01B101A4";
constant coe14_init_1E : bit_vector(255 downto 0) := X"034A033C032E03200312030402F602E802DA02CD02BF02B102A302950288027A";
constant coe14_init_1F : bit_vector(255 downto 0) := X"043004210413040403F603E703D903CB03BC03AE03A003910383037503670359";
constant coe14_init_20 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_21 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_22 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_23 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_24 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_25 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_26 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_27 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_28 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_29 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_2A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_2B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_2C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_2D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_2E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_2F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_30 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_31 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_32 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_33 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_34 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_35 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_36 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_37 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_38 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_39 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_3A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_3B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_3C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_3D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_3E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe14_init_3F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_00 : bit_vector(255 downto 0) := X"051D050E04FF04F004E104D204C304B404A6049704880479046B045C044D043F";
constant coe15_init_01 : bit_vector(255 downto 0) := X"0610060005F105E205D205C305B405A505960586057705680559054A053B052C";
constant coe15_init_02 : bit_vector(255 downto 0) := X"070806F806E906D906CA06BA06AA069B068B067C066C065D064D063E062F061F";
constant coe15_init_03 : bit_vector(255 downto 0) := X"080507F507E507D507C507B507A6079607860776076607570747073707270718";
constant coe15_init_04 : bit_vector(255 downto 0) := X"090508F508E508D508C508B508A5089508850875086508550845083508250815";
constant coe15_init_05 : bit_vector(255 downto 0) := X"0A0809F809E809D809C709B709A7099709870976096609560946093609260915";
constant coe15_init_06 : bit_vector(255 downto 0) := X"0B0D0AFD0AEC0ADC0ACC0ABB0AAB0A9B0A8A0A7A0A6A0A5A0A490A390A290A19";
constant coe15_init_07 : bit_vector(255 downto 0) := X"0C120C020BF10BE10BD10BC00BB00BA00B8F0B7F0B6F0B5E0B4E0B3E0B2D0B1D";
constant coe15_init_08 : bit_vector(255 downto 0) := X"0D170D070CF60CE60CD60CC50CB50CA50C950C840C740C640C530C430C330C22";
constant coe15_init_09 : bit_vector(255 downto 0) := X"0E1A0E0A0DFA0DEA0DDA0DC90DB90DA90D990D890D780D680D580D480D370D27";
constant coe15_init_0A : bit_vector(255 downto 0) := X"0F1B0F0B0EFB0EEB0EDB0ECB0EBB0EAB0E9B0E8B0E7B0E6B0E5B0E4B0E3A0E2A";
constant coe15_init_0B : bit_vector(255 downto 0) := X"101910090FF90FE90FDA0FCA0FBA0FAA0F9A0F8A0F7B0F6B0F5B0F4B0F3B0F2B";
constant coe15_init_0C : bit_vector(255 downto 0) := X"1111110210F310E310D410C410B510A510961086107610671057104810381028";
constant coe15_init_0D : bit_vector(255 downto 0) := X"120511F611E711D811C811B911AA119B118C117D116D115E114F113F11301121";
constant coe15_init_0E : bit_vector(255 downto 0) := X"12F212E312D412C612B712A8129A128B127C126D125E124F1241123212231214";
constant coe15_init_0F : bit_vector(255 downto 0) := X"13D713C913BB13AC139E139013821373136513571348133A132C131D130F1300";
constant coe15_init_10 : bit_vector(255 downto 0) := X"14B414A61498148B147D14701462145414461438142B141D140F140113F313E5";
constant coe15_init_11 : bit_vector(255 downto 0) := X"1587157A156D1560155315461539152C151E1511150414F714E914DC14CF14C1";
constant coe15_init_12 : bit_vector(255 downto 0) := X"165016441637162B161F1612160615F915ED15E015D415C715BA15AD15A11594";
constant coe15_init_13 : bit_vector(255 downto 0) := X"170E170216F716EB16E016D416C816BC16B016A51699168D168116741668165C";
constant coe15_init_14 : bit_vector(255 downto 0) := X"17C017B517AA179F1795178A177F17741768175D17521747173C173017251719";
constant coe15_init_15 : bit_vector(255 downto 0) := X"1865185B18511847183D18331829181E1814180A17FF17F517EA17E017D517CB";
constant coe15_init_16 : bit_vector(255 downto 0) := X"18FD18F418EB18E118D818CF18C618BC18B318A918A01896188C18831879186F";
constant coe15_init_17 : bit_vector(255 downto 0) := X"1986197E1976196E1965195D1955194C1943193B1932192919211918190F1906";
constant coe15_init_18 : bit_vector(255 downto 0) := X"1A0119FA19F319EC19E419DD19D519CD19C619BE19B619AF19A7199F1997198F";
constant coe15_init_19 : bit_vector(255 downto 0) := X"1A6D1A671A611A5A1A541A4D1A471A401A391A331A2C1A251A1E1A171A101A09";
constant coe15_init_1A : bit_vector(255 downto 0) := X"1AC91AC41ABF1AB91AB41AAE1AA91AA31A9D1A971A921A8C1A861A801A7A1A73";
constant coe15_init_1B : bit_vector(255 downto 0) := X"1B151B111B0D1B081B041AFF1AFB1AF61AF11AED1AE81AE31ADE1AD91AD41ACF";
constant coe15_init_1C : bit_vector(255 downto 0) := X"1B511B4E1B4A1B471B441B401B3C1B391B351B311B2E1B2A1B261B221B1E1B19";
constant coe15_init_1D : bit_vector(255 downto 0) := X"1B7C1B7A1B771B751B731B701B6E1B6B1B681B661B631B601B5D1B5A1B571B54";
constant coe15_init_1E : bit_vector(255 downto 0) := X"1B961B951B931B921B911B8F1B8E1B8C1B8B1B891B871B861B841B821B801B7E";
constant coe15_init_1F : bit_vector(255 downto 0) := X"1B9F1B9F1B9F1B9E1B9E1B9E1B9D1B9D1B9C1B9C1B9B1B9A1B9A1B991B981B97";
constant coe15_init_20 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_21 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_22 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_23 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_24 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_25 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_26 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_27 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_28 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_29 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_2A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_2B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_2C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_2D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_2E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_2F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_30 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_31 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_32 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_33 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_34 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_35 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_36 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_37 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_38 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_39 : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_3A : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_3B : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_3C : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_3D : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_3E : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";
constant coe15_init_3F : bit_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000000";

end FIR_DEC_COE;

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru