[an error occurred while processing this directive]
У меня так:
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Figaro 18 октября 2004 г. 15:27
В ответ на: Ответ: Я имел ввиду VHDL-файл, который был сформирован после разводки проекта на кристалле (и который используется для временной симуляции) отправлено Vasilij 18 октября 2004 г. 14:35

Создалась папка timing, а в ней два файла TIME_SIM.VHD(название элемента) и TIME_SIM.SDF.

В TIME_SIM.VHD

architecture STRUCTURE of CE16FD is
....
signal GSR : STD_LOGIC
signal GTS : STD_LOGIC
.....
.....
NlwBlockROC: X_ROC
port map (O => GSR);
NlwBlockTOC: X_TOC
port map (O => GTS);

Вот упоминания в проекте, а вот ENTITY ROC я ни где не видел весь проект обшарил(там шарить то нечего)

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru