[an error occurred while processing this directive]
Кто ищет...
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено zlyh 21 декабря 2004 г. 10:12
В ответ на: Тогда вопрос в другой постановке: а чего именно можно там юзать от этих мемберов? отправлено major 20 декабря 2004 г. 22:37

http://www.edif.org/lpmweb/more/vhdl.htm

Тут не то что возразить Альтере, придётся сказать спасибо.

Поискал сейчас в своих проектах по слову "LPM", не нашёл у Хилинха таких словов.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru