[an error occurred while processing this directive]
C кодом могу помочь только на VHDL. Verilog мне не понятен. Скажите какой вам счетчик надо. И в программе я что то не понял как завязан out и preCnt
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено Serega Doc 04 апреля 2005 г. 11:12
В ответ на: Пересобрал. Но там не сильно старее был файл. отправлено Artem 04 апреля 2005 г. 10:46

Объясните что надо.

А по поводу пересобрал. Я пока не пересобрал неделю не мог моделировать PLL.

Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru