[an error occurred while processing this directive] [an error occurred while processing this directive]
В Synplify ...
(«Телесистемы»: Конференция «Программируемые логические схемы и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено SNN 13 мая 2002 г. 18:21
В ответ на: господа, ваше средство синтеза (да и симулятор тоже) возмет такую конструкцию ? отправлено yes 13 мая 2002 г. 13:19

Звучит немного по другому:


module my_module( i, o );
parameter size = 1;
input [size-1:0] i;
output [size-1:0] o;

assign o = ~i; // inverter!

endmodule

//-------------------------------
module big_module( abus, bbus);
input [ 15:0 ] abus;
output [ 15:0 ] bbus;

my_module #16 bus_inverters( abus , bbus );

endmodule


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru